教育研究活動データベース

日本語に切り替えるswitch to english

佐藤 高史

サトウ タカシ

情報学研究科 情報学専攻集積システム工学講座 教授

佐藤 高史
list
    Last Updated :2025/04/23

    基本情報

    学部兼担

    • 工学部 工学部 電気電子工学科

    学位

    • 工学修士(早稲田大学)
    • 博士(情報学)(京都大学)

    ID,URL

    関連Webサイト

    researchmap URL

    list
      Last Updated :2025/04/23

      研究

      研究テーマ・研究概要

      • 研究テーマ

        集積システムの設計、統計的解析と最適化
      • 研究概要

        ・集積回路の物理設計および最適化
        ・信頼性考慮回路設計手法
        ・ばらつき考慮回路設計手法
        ・ハードウェアセキュリティ
        ・パワーデバイスのモデリング
        ・新概念コンピューティング

      研究分野

      • ものづくり技術(機械・電気電子・化学工学), 電子デバイス、電子機器
      • 情報通信, 情報セキュリティ
      • 情報通信, 計算機システム

      論文

      • Accurate oower MOSFET modeling with off-the-shelf instruments
        Hajime Takayama; Yota Nishitani; Kazuki Matsumoto; Takashi Sato; Michihiro Shintani
        PCIM Europe Conference, 2025年09月
      • Weighted range-constrained Ising-model decoder for quantum error correction
        Xinyi Guo; Hiromitsu Awano; Takashi Sato
        IEEE/ACM Design Automation Conf erence (DAC), 2025年06月, 査読有り, 最終著者
      • Lookup table-based multiplication-free all-digital DNN accelerator featuring self-synchronous pipeline cccumulation
        Hiroto Tagata; Takashi Sato; Hiromitsu Awano
        IEEE/ACM Design Automation Conference (DAC), 2025年06月, 査読有り
      • A 22nm resource-frugal hyper-heterogeneous multi-modal system-on-chip towards in-orbit computing
        Quan Cheng; Qiufeng Li; Weirong Dong; Mingtao Zhang; Ruilin Zhang; Mingqiang Huang; Hao Yu; Yiyu Shi; Hiromitsu Awano; Takashi Sato; Longyang Lin; Masanori Hashimoto
        IEEE Custom Integrated Circuits Conference (CICC ), 2025年04月, 査読有り
      • GaitCloud: Leveraging spatial-temporal information for LiDAR-base gait recognition with a true-3D gait representation
        Shaoxiong Zhang; Hiromitsu Awano; Takashi Sato
        IEEE/CVF Winter Conference on Applications of Computer Vision (WACV), 2025年03月, 査読有り
      • Test accuracy improvement of ensemble Gaussian process-based IC outlier detection using temporal similarity between wafers
        Daisuke Goeda; Tomoki Nakamura; Masuo Kajiyama; Makoto Eiki; Hajime Takayama; Takashi Sato; Michihiro Shintani
        IEEE International Conference on Microelectronic Test Structures (ICMTS), 2025年03月, 査読有り
      • TACHI: Tests as a chip identifier
        Ryosuke Sada; Michihiro Shintani; Takashi Sato
        IEEE International Conference on Microelectronic Test Structures (ICMTS), 2025年03月, 査読有り, 最終著者, 責任著者
      • Cryo-Compact Modeling Based on Sparse Gaussian Process
        Tetsuro Iwasaki; Takashi Sato; Michihiro Shintani
        Proceedings of the 30th Asia and South Pacific Design Automation Conference, 2025年01月20日
      • Physics-based Modeling to Extend a MOSFET Compact Model for Cryogenic Operation
        Dondee Navarro; Shin Taniguchi; Chika Tanaka; Kazutoshi Kobayashi; Takashi Sato; Michihiro Shintani
        Proceedings of the 30th Asia and South Pacific Design Automation Conference, 2025年01月20日
      • Random telegraph noise observed on 65-nm bulk pMOS transistors at 3.8K
        Takuma Kawakami; Takashi Sato; Hiromitsu Awano
        ACM/IEEE Asia and South Pacific Design Automation Conference (ASPDAC), 2025年01月
      • Low voltage DNTT-based organic TFTs: layout structure, device characteristics, and its application to circuit design
        Takashi Sato; Kunihiro Oshima; Zhaoxin Qin
        IEEE International Display Workshop (IDW), 2024年12月, 招待有り, 筆頭著者, 責任著者
      • Online Training and Inference System on Edge FPGA Using Delayed Feedback Reservoir
        Sosei Ikeda; Hiromitsu Awano; Takashi Sato
        IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2025年, 査読有り, 最終著者
      • (Invited) Redefining Outliers for On-Wafer Electrical Testing
        Michihiro Shintani; Takashi Sato
        Proceedings of the 2024 ACM/IEEE International Symposium on Machine Learning for CAD, 2024年09月09日
      • BayesianSpikeFusion: accelerating spiking neural network inference via Bayesian fusion of early prediction
        Takehiro Habara; Takashi Sato; Hiromitsu Awano
        Frontiers in Neuroscience, 2024年08月05日
      • Double MAC on a Cell: A 22-nm 8T-SRAM-Based Analog In-Memory Accelerator for Binary/Ternary Neural Networks Featuring Split Wordline
        Hiroto Tagata; Takashi Sato; Hiromitsu Awano
        IEEE Open Journal of Circuits and Systems, 2024年09月, 査読有り
      • CLAPPER: Clonable LFSR-based asymmetric PUF-group with peer-to-peer equivalent response
        Chen Zhenzhe; Hirofumi Shinohara; Takashi Sato
        Proc. IEEE International Midwest Symposium on Circuits and Systems (MWSCAS), 2024年08月, 査読有り, 最終著者
      • Triplet network-based DNA encoding for enhanced similarity image retrieval
        Takefumi Koike; Hiromitsu Awano; Takashi Sato
        Proc. ACM/IEEE Design Automation Conference (DAC), 2024年06月, 査読有り, 最終著者
      • SpongePUF: A modeling attack resilient strong PUF with scalable challenge response pair
        Chen Zhenzhe; Takashi Sato; Hirofumi Shinohara
        Proc. IEEE International Symposium on Hardware Oriented Security and Trust (HOST), 2024年05月, 査読有り, 最終著者
      • Enhancing visual similarities in DNA-based similar image retrieval
        Takefumi Koike; Takashi Sato
        Proc. Workshop on synthesis and system integration of mixed information technologies (SASIMI), 2024年03月, 査読有り, 最終著者
      • Experimental study of pass/fail threshold determination based on Gaussian process regression
        Daisuke Goeda; Tomoki Nakamura; Masuo Kajiyama; Makoto Eiki; Takashi Sato; Michihiro Shintani
        Proc. Workshop on synthesis and system integration of mixed information technologies (SASIMI), 2024年03月, 査読有り
      • DNA-based similar image retrieval via triplet network-driven encoder
        Takefumi Koike; Hiromitsu Awano; Takashi Sato
        Proc. Design, Automation and Test in Europe (DATE), 2024年03月, 査読有り, 最終著者
      • Fast parameter optimization of delayed feedback reservoir with backpropagation and gradient descent
        Sosei Ikeda; Hiromitsu Awano; Takashi Sato
        Proc. Design, Automation and Test in Europe (DATE), 2024年03月, 査読有り, 最終著者
      • Logic locking over TFHE for securing user data and algorithms
        Kohei Suemitsu; Kotaro Matsuoka; Takashi Sato; Masanori Hashimoto
        Proc. ACM/IEEE Asia and South Pacific Design Automation Conference (ASPDAC), 2024年01月, 査読有り
      • Design of aging-robust clonable PUF using organic thin-film transistors and insulator-based ReRAM
        Kunihiro Oshima; Kazunori Kuribara; Takashi Sato
        Proc. ACM/IEEE Asia and South Pacific Design Automation Conference (ASPDAC), 2024年01月, 査読有り, 最終著者
      • HOGE: Homomorphic gates on a chip
        Kotaro Matsuoka; Song Bian; Takashi Sato
        Proc. ACM/IEEE Asia and South Pacific Design Automation Conference (ASPDAC), 2024年01月, 招待有り, 最終著者
      • Gaussian process-based device model toward a unified current model across room to cryogenic temperatures
        Michihiro Shintani; Tetsuro Iwasaki; Takashi Sato
        IEEE International Conference on Microelectronic Test Structures, 2024年04月, 査読有り, 最終著者
      • Layout Design for DNTT-based Organic TFTs Considering Fringe Leakage Current
        Kunihiro Oshima; Kazunori Kuribara; Takashi Sato
        IEEE Journal on Flexible Electronics, 2024年, 査読有り, 最終著者
      • Low voltage operation of organic thin-film transistor with atmospheric coating of high-k polymer dielectric
        Kazunori Kuribara; Atsushi Takei; Takashi Sato; Manabu Yoshida
        Japanese Journal of Applied Physics, 2023年12月15日, 査読有り
      • Low voltage operation of organic thin-film transistor with atmospheric coating of high-k polymer dielectric
        Kazunori Kuribara; Atsushi Takei; Takashi Sato; Manabu Yoshida
        Proc. International Conference on Solid State Devices and Materials, 2023年09月, 査読有り
      • Feasibility study of PLL-based analog-to-digital converter for low-voltage organic thin-film transistors
        Hiroki Urabe; Kunihiro Oshima; Takashi Sato
        Proc. International Conference on Solid State Devices and Materials, 2023年09月, 査読有り, 最終著者, 責任著者
      • Uncertainty-aware haptic shared control with humanoid robots for flexible object manipulation
        Takumi Hara; Takashi Sato; Tetsuya Ogata; Hiromitsu Awano
        IEEE Robotics and Automation Letters, 2023年12月, 査読有り
      • Improving efficiency and robustness of Gaussian process based outlier detection via ensemble learning
        Makoto Eiki; Tomoki Nakamura; Masuo Kajiyama; Michiko Inoue; Takashi Sato; Michihiro Shintani
        Proc. International Test Conference (ITC), 2023年10月, 査読有り
      • Modular DFR: Digital delayed feedback reservoir model for enhancing design flexibility
        Sosei Ikeda; Hiromitsu Awano; Takashi Sato
        ACM Transactions on Embedded Computing Systems (TECS), 2023年09月, 査読有り, 最終著者
      • Flex-SNN: Spiking Neural Network on Flexible Substrate
        Kunihiro Oshima; Kazunori Kuribara; Takashi Sato
        IEEE Sensors Letters, 2023年05月, 査読有り, 責任著者
      • OPTL: Robust and area-efficient pass gate logic for organic transistors
        Qin Zhaoxing; Kunihiro Oshima; Kazunori Kuribara; Takashi Sato
        IEEE International Conference on Flexible and Printable Sensors and Systems (FLEPS), 2023年07月, 査読有り, 最終著者
      • Introducing transfer learning framework on device modeling by machine learning
        Kota Niiyama; Hiromitu Awano; Takashi Sato
        IEEE International Conference on Microelectronic Test Structures (ICMTS), 2023年03月, 査読有り
      • Aging-robust amplifier composed of p-type low voltage OTFT and organic semiconductor load
        Yuto Kaneiwa; Kazunori Kuribara; Takashi Sato
        Japanese Journal of Applied Physics, 2023年02月09日, 査読有り, 最終著者, 責任著者
      • Measurement of 64 organic thin-film transistors in an array test structure using a relay-switch board for efficient evaluation of long-term reliability
        Yasuhiro Ogasahara; Kazunori Kuribara; Takashi Sato
        Japanese Journal of Applied Physics, 2023年01月23日, 査読有り, 最終著者
      • Dominant model-parameter determination for the analysis of current imbalance across paralleled power transistors
        Yohei Nakamura; Michihiro Shintani; Takashi Sato
        IEEE Transactions on Power Electronics, 2023年04月, 査読有り, 最終著者
      • Special section on analog circuit techniques and related topics
        Hiroki Ishikuro; Hiroyuki Ito; Takashi Sato; Takahide Sato; Hao San; Hiroo Sekiya; Nicodimus Retdian; Akira Hyogo; Yoshitaka Hirai; Yasuyuki Matsuya; Cosy Muto; Akira Yasuda; Taizo Yamawaki; Takeshi Yoshida
        IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences, 2021年02月01日
      • Hypersphere Sampling for Accelerating High-Dimension and Low-Failure Probability Circuit-Yield Analysis
        HAGIWARA Shiho; DATE Takanori; MASU Kazuya; 佐藤 高史
        IEICE Transactions on Electronics, 2014年04月01日
      • On-Chip Thermal Gradient Analysis and Temperature Flattening for SoC Design
        SATO Takashi; ICHIMIYA Junji; ONO Nobuto; HACHIYA Koutaro; HASHIMOTO Masanori
        IEICE transactions on fundamentals of electronics, communications and computer sciences, 2005年12月01日
      • Hardware-friendly delayed feedback reservoir for multivariate time series classification
        Sosei Ikeda; Hiromitsu Awano; Takashi Sato
        IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), 2022年08月, 査読有り, 最終著者
      • Dominant Model Parameter Extraction for Analyzing Current Imbalance in Parallel Connected SiC MOSFETs
        Yohei Nakamura; Michihiro Shintani; Takashi Sato
        2021 IEEE Energy Conversion Congress and Exposition (ECCE), 2021年10月10日
      • VisualNet: An end-to-end human visual system inspired framework to reduce inference latency of deep neural networks
        Tianchen Wang; Jiawei Zhang; Jinjun Xiong; Song Bian; Zheyu Yan; Meiping Huang; Jian Zhuang; Takashi Sato; Yiyu Shi; Xiaowei Xu
        IEEE Transactions on Computers, 2022年07月, 査読有り
      • Hybrid CMOS and pseudo-CMOS organic memory for flexible sensors
        Zhaoxing Qin; Kazunori Kuribara; Yasuhiro Ogasahara; Takashi Sato
        IEEE Sensors Journal, 2022年03月, 査読有り, 最終著者
      • Efficient analysis and mitigation of workload-dependent aging degradation
        Shumpei Morita; Song Bian; Michihiro Shintani; Takashi Sato
        IEEE Transactions on Computer-Aided Design (TCAD), 2022年03月, 査読有り, 最終著者
      • Investigation of layout-dependent characteristic change for improving performance of organic thin-film transistors
        Kunihiro Oshima; Kazunori Kuribara; Takashi Sato
        IEEE International Conference on Microelectronic Test Structures (ICMTS), 2022年03月, 査読有り, 最終著者, 責任著者
      • dGPLVM: A nonparametric device model for statistical circuit simulation
        Kyohei Shimozato; Takashi Sato
        IEEE International Conference on Microelectronic Test Structures (ICMTS), 2022年03月, 査読有り, 最終著者, 責任著者
      • Characteristic degradation of power MOSFETs by X-ray irradiation and its recovery
        Masato Shiozaki; Takashi Sato
        IEEE International Reliability Physics Symposium, 2022年03月, 査読有り, 最終著者
      • Adaptive outlier detection for power MOSFETs based on Gaussian process regression
        Kyohei Shimozato; Michihiro Shintani; Takashi Sato
        IEEE Applied Power Electronics Conference and Exposition (APEC), 2022年03月, 査読有り, 最終著者
      • Accelerating parameter extraction of power MOSFET models using automatic differentiation
        Michihiro Shintani; Aoi Ueda; Takashi Sato
        IEEE Transactions on Power Electronics (TPEL), 2022年03月, 査読有り, 最終著者
      • Evaluation of thermal couple impedance model of power modules for accurate die temperature estimation up to 200℃
        Yohei Nakamura; Naotaka Kuroda; Ken Nakahara; Michihiro Shintani; Takashi Sato
        Japanese Journal of Applied Physics (JJAP), 2022年02月, 査読有り, 最終著者
      • Respiratory rate estimation based on WiFi frame capture
        Takamochi Kanda; Takashi Sato; Hiromitsu Awano; Sota Kondo; Koji Yamamoto
        IEEE Consumer Communications & Networking Conference (CCNC), 2022年01月, 査読有り
      • Yield and leakage current of organic thin-film transistor logic gates toward reliable and low-power operation of large-scale logic circuits for IoT nodes
        Ogasahara, Yasuhiro; Kuribara, Kazunori; Oshima, Kunihiro; Qin, Zhaoxing; Sato, Takashi
        Japanese Journal of Applied Physics, 2021年12月, 査読有り, 最終著者
      • Towards better standard cell library: Optimizing compound logic gates for TFHE
        Kotaro Matsuoka; Yusuke Hoshizuki; Takashi Sato; Song Bian
        ACM Workshop on Encrypted Computing & Applied Homomorphic Cryptography (WAHC), 2021年11月, 査読有り
      • APAS: Application-specific accelerators for RLWE-based homomorphic linear transformations
        Song Bian; Dur E Shahwar Kundi; Kazuma Hirozawa; Weiqiang Liu; Takashi Sato
        IEEE Transactions on Information Forensics & Security (TIFS), 2021年11月, 査読有り, 最終著者
      • Motion robust remote photoplethsymography via frequency domain motion artifact reduction
        Suraj Hebber; Takashi Sato
        IEEE Biomedical Circuits and Systems Conference (BIOCAS), 2021年10月, 査読有り, 最終著者
      • Sensitivity analysis of device parameter variation on current imbalance of parallel connected SiC power MOSFETs
        Yohei Nakamura; Michihiro Shintani; Takashi Sato
        IEEE Energy Conversion Congress and Expo (ECCE), 2021年10月, 査読有り, 最終著者
      • Rail-to-rail output voltage swing of inverter with organic thin-film transistor at 2.5V Vdd toward reliable operation of low leakage large scale logic circuits
        Yasuhiro Ogasahara; Kazunori Kuribara; Kunihiro Oshima; Takashi Sato
        International Conference on Solid State Devices and Materials (SSDM), 2021年09月, 査読有り, 最終著者
      • Experimental Validation of Thermal Couple Impedance Model for Accurate Die Temperature Estimation in Power Modules
        Yohei Nakamura; Naotaka Kuroda; Ken Nakahara; Michihiro Shintani; Takashi Sato
        International Conference on Solid State Devices and Materials (SSDM), 2021年09月, 査読有り, 最終著者
      • Statistical device modeling with arbitrary model-parameter distribution via Markov Chain Monte Carlo
        Hiroki Tsukamoto; Song Bian; Takashi Sato
        International Conference on Simulation of Semiconductor Processes and Devices (SISPAD), 2021年09月, 査読有り, 最終著者, 責任著者
      • Analysis of thermal concentration failure in unclamped inductive switching based on three-dimensional electro-thermal simulation with on-chip variation
        Kyohei Shimozato; Yohei Nakamura; Takashi Sato
        International Conference on Simulation of Semiconductor Processes and Devices (SISPAD), 2021年09月, 査読有り, 最終著者
      • Virtual secure platform: A five-stage pipeline processor over TFHE
        Kotaro Matsuoka; Ryotaro Banno; Naoki Matsumoto; Takashi Sato; Song Bian
        Usenix Security Symposium, 2021年08月, 査読有り
      • Investigation of BTI-induced threshold voltage shift for power MOSFETs during switching operation
        Michihiro Shintani; Takashi Sato
        Proc. International Symposium on 3D Power Electronics Integration and Manufacturing (3D-PEIM), 2021年07月, 査読有り, 招待有り, 最終著者
      • Extraction of heart rate variability using commodity Wi-Fi devices
        Itsuki Shirakami; Takashi Sato
        IEEE Conference on Biomedical and Health Informatics (BHI), 2021年07月, 査読有り, 最終著者
      • Privacy-preserving medical image segmentation via hybrid trusted execution environment
        Song Bian; Weiweng Jiang; Takashi Sato
        ACM/IEEE Design Automation Conference (DAC), 2021年06月, 査読有り, 最終著者
      • An SRAM-based scratchpad memory for organic IoT sensors
        Zhaoxing Qin; Kazunori Kuribara; Takashi Sato
        Proc. IEEE International Conference on Flexible and Printable Sensors and Systems (FLEPS), 2021年06月, 査読有り, 最終著者
      • Automatic parallelism tuning for module learning with errors based post-quantum key exchanges on GPUs
        Tatsuki Ono; Song Bian; Takashi Sato
        Proc. IEEE International Symposium on Circuits and Systems (ISCAS), 2021年05月, 査読有り, 最終著者
      • Clonable PUF: On the design of PUFs that share equivalent responses
        Takashi Sato; Yuki Tanaka; Song Bian
        Proc. IEEE International Symposium on Circuits and Systems (ISCAS), 2021年05月, 査読有り, 筆頭著者, 責任著者
      • Stable organic SRAM cell with p-type access transistors
        Zhaoxing Qin; Song Bian; Kazunori Kuribara; Takashi Sato
        Japanese Journal of Applied Physics (JJAP), 2021年02月, 査読有り, 最終著者
      • Separation of bias stress degradation factors in organic thin-film transistors
        Kunihiro Oshima; Song Bian; Kazunori Kuribara; Takashi Sato
        Japanese Journal of Applied Physics (JJAP), 2021年02月, 査読有り, 最終著者
      • An electrothermal compact model of SiC MOSFETs for analyzing avalanche failure mechanism
        Kyohei Shimozato; Yohei Nakamura; Song Bian; Takashi Sato
        Japanese Journal of Applied Physics (JJAP), 2021年02月, 査読有り, 最終著者
      • Influences of device parameters variability on current sharing of parallel-connected SiC MOSFETs
        Yohei Nakamura; Michihiro Shintani; Takashi Sato
        Proc. IEEE Asian Test Symposium (ATS), 2020年11月, 査読有り, 最終著者
      • Measurement of BTI-induced threshold voltage shift for power MOSFETs under switching operation
        Aoi Ueda; Michihiro Shintani; Michiko Inoue; Takashi Sato
        Proc. IEEE Asian Test Symposium (ATS), 2020年11月, 査読有り, 招待有り, 最終著者
      • A Compact device model for SiC MOSFETs valid for wide-temperature range
        Kohei Shimozato; Takashi Sato
        Proc. IEEE Workshop on Wide Bandgap Power Devices and Applications in Asia (WiPDA-Asia), 2020年09月, 査読有り, 最終著者, 責任著者
      • An electrothermal compact model of SiC MOSFETs for simulating unclamped inductive switching tests
        Kyohei Shimozato; Yohei Nakamura; Song Bian; Takashi Sato
        Proc. International Conference on Solid State Devices and Materials (SSDM), 2020年09月, 査読有り
      • Design of an organic SRAM cell with p-type access transistors
        Qin Zhaoxing; Kazunori Kuribara; Song Bian; Takashi Sato
        Proc. International Conference on Solid State Devices and Materials (SSDM), 2020年09月, 査読有り, 最終著者
      • Quantification of insulator and semiconductor carrier trapping in organic thin film transistors using DNTT and TU-1
        Kunihiro Oshima; Kazunori Kuribara; Song Bian; Takashi Sato
        Proc. International Conference on Solid State Devices and Materials (SSDM), 2020年09月, 査読有り, 最終著者
      • BUNET: Blind medical image segmentation based on secure UNET
        Song Bian; Xiaowei Xu; Weiwen Jiang; Yiyu Shi; Takashi Sato
        Proc. Medical Image Computing and Computer Assisted Interventions (MICCAI), 2020年09月, 査読有り, 最終著者
      • Organic Current Mirror PUF for Improved Stability against Device Aging
        Zhaoxing Qin; Michihiro Shintani; Kazunori Kuribara; Yasuhiro Ogasahara; Takashi Sato
        IEEE Sensors Journal, 2020年07月, 査読有り, 最終著者, 責任著者
      • ENSEI: Efficient Secure Inference via Frequency-domain Homomorphic Convolution for Privacy-preserving Visual Recognition
        S. Bian; T. Wang; M. Hiromoto; Y. Shi; T. Sato
        Computer Vision and Pattern Recognition (CVPR), 2020年07月, 査読有り, 最終著者
      • Clustering Approach for Solving Traveling Salesman Problems via Ising Model Based Solver
        A. Dan; R. Shimizu; T. Nishikawa; S. Bian; T. Sato
        ACM/IEEE Design Automation Conference (DAC), 2020年07月, 査読有り, 最終著者, 責任著者
      • NASS: Optimizing Secure Inference via Neural Architecture Search
        S. Bian; W. Jian; Q. Lu; T. Sato
        European Conference on Artificial Intelligence (ECAI), 2020年06月, 査読有り, 最終著者
      • Measurement and Modeling of Ambient-air-induced Degradation in Organic Thin-film Transistor
        Michihiro Shintani; Michiaki Saito; Kazunori Kuribara; Yasuhiro Ogasahara; Takashi Sato
        IEEE Transactions on Semiconductor Manufacturing (TSM), 2020年05月, 査読有り, 最終著者
      • Statistical Extraction of Normally and Lognormally Distributed Model Parameters for Power MOSFETs
        H. Tsukamoto; M. Shintani; T. Sato
        IEEE Transactions on Semiconductor Manufacturing (TSM), 2020年05月, 査読有り, 最終著者
      • Ed-PUF: Event Driven Physical Unclonable Function for Camera Authentication in Reactive Monitoring System
        Y. Zheng; X. Zhao; T. Sato; Y. Cao; C.-H. Chang
        IEEE Transactions on Information Forensics and Security (TIFS), 2020年03月, 査読有り
      • Recovery-aware Bias-stress Degradation Model for Organic Thin-film Transistors Considering Drain and Gate Bias Voltages
        K. Oshima; M. Shintani; K. Kuribara; Y. Ogasahara; T. Sato
        Japanese Journal of Applied Physics (JJAP), 2020年02月, 査読有り, 最終著者
      • A Tuning-free Hardware Reservoir Based on MOSFET Crossbar Array for Practical Echo State Network Implementation
        Y. Kume; S. Bian; T. Sato
        ACM/IEEE Asia and South Pacific Design Automation Conference (ASPDAC), 2020年01月, 査読有り, 最終著者
      • GPU-based Ising Computing for Solving Max-cut Combinatorial Optimization Problems
        C. Cook; H. Zhao; T. Sato; M. Hiromoto; S. Tan
        Integration, the VLSI Journal, 2019年12月, 査読有り
      • Parameter Extraction Procedure for Surface-potential-based SiC MOSFET Model
        M. Shintani; H. Tsukamoto; T. Sato
        IEEE Workshop on Wide Bandgap Power Devices and Applications (WiPDA), 2019年10月, 査読有り, 最終著者
      • A Tuning-free Reservoir of MOSFET Crossbar Array for Inexpensive Hardware Realization of Echo State Network
        Y. Kume; M. Hiromoto; T. Sato
        The 22nd workshop on synthesis and system integration of mixed information technologies (SASIMI), 2019年10月, 査読有り, 最終著者
      • Improved Multiplier Architecture on ASIC for RLWE-based Key Exchange
        T. Ono; S. Bian; T. Sato
        The 22nd workshop on synthesis and system integration of mixed information technologies (SASIMI), 2019年10月, 査読有り, 最終著者
      • Estimation of NBTI-induced Timing Degradation Considering Duty Ratio
        K. Oshima; S. Bian; T. Sato
        The 22nd workshop on synthesis and system integration of mixed information technologies (SASIMI), 2019年10月, 査読有り
      • Heart Rate Estimation During Exercise from Photoplethysmographic Signals Using Convolutional Neural Network
        M. Nakamura; T. Sato
        Biomedical Circuits and Systems Conference (BIOCAS), 2019年10月, 査読有り, 最終著者, 責任著者
      • Experimental Study of Bias Stress Degradation of Organic Thin Film Transistors
        K. Oshima; M. Saito; M. Shintani; K. Kuribara; Y. Ogasahara; T. Sato
        International Conference on Solid State Devices and Materials (SSDM), 2019年09月, 査読有り, 最終著者
      • A Three-level Active Gate Drive Circuit for Power MOSFETs Utilizing a Generic Gate Driver IC
        M. Shintani; K. Oishi; T. Sato
        International Conference on Silicon Carbide and Related Materials (ICSCRM), 2019年09月, 査読有り, 最終著者
      • OCM-PUF: An Organic Current Mirror PUF With Enhanced Resilience to Device Degradation
        Z. Qin; M. Shintani; K. Kuribara; Y. Ogasahara; T. Sato
        IEEE International Conference on Flexible and Printable Sensors and Systems (FLEPS), 2019年07月, 査読有り, 最終著者
      • Filianore: Better Multiplier Architectures for LWE-based Post-quantum Key Exchange
        S. Bian; M. Hiromoto; T. Sato
        ACM/IEEE Design Automation Conference (DAC), 2019年06月, 査読有り, 最終著者
      • Study on Statistical Parameter Extraction of Power MOSFET Model by Principal Component Analysis
        H. Tsukamoto; M. Shintani; T. Sato
        IEEE International Conference on Microelectronic Test Structures (ICMTS), 2019年03月, 査読有り, 最終著者, 責任著者
      • A Compact Model of I-V Characteristic Degradation for Organic Thin Film Transistors
        M. Saito; M. Shintani; K. Kuribara; Y. Ogasahara; T. Sato
        IEEE International Conference on Microelectronic Test Structures (ICMTS), 2019年03月, 査読有り, 最終著者, 責任著者
      • DArL: Dynamic Parameter Adjustment for LWE-based Secure Inference
        S. Bian; M. Hiromoto; T. Sato
        Design, Automation and Test in Europe (DATE), 2019年03月, 査読有り, 最終著者
      • Hardware-accelerated Secured Naive Bayesian Filter Based on Partially Homomorphic Encryption
        S. Bian; M. Hiromoto; T. Sato
        IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences, 2019年02月, 査読有り, 最終著者
      • Feasibility of a Low-power, Low-voltage Complementary Organic Thin Film Transistor Buskeeper Physical Unclonable Function
        Y. Ogasahara; K. Kuribara; M. Shintani; T. Sato
        Japanese Journal of Applied Physics (JJAP), 2019年01月, 査読有り, 最終著者
      • Towards Practical Homomorphic Email Filtering: A Hardware-accelerated Secure Naive Bayesian Filter
        S. Bian; M. Hiromoto; T. Sato
        ACM/IEEE Asia and South Pacific Design Automation Conference (ASPDAC), 2019年01月, 査読有り, 最終著者
      • Surface-potential-based Silicon Carbide Power MOSFET Model for Circuit Simulation
        M. Shintani; Y. Nakamura; K. Oishi; M. Hiromoto; T. Hikihara; T. Sato
        IEEE Transactions on Power Electronics (TPEL), 2018年12月, 査読有り, 最終著者
      • A Transient Approach for Input Capacitance Characterization of Power Devices (Invited)
        T. Sato
        IEEE International Conference on Solid-State and Integrated Circuit Technology (ICSICT), 2018年11月, 査読有り, 招待有り, 筆頭著者, 最終著者, 責任著者
      • Measurement and Modeling of Frequency Degradation of an oTFT Ring Oscillator
        M. Saito; M. Shintani; K. Kuribara; Y. Ogasahara; T. Sato
        IEEE International Conference on Solid-State and Integrated Circuit Technology (ICSICT), 2018年11月, 査読有り, 最終著者
      • An Experimental Design of Robust Current-mode Arbiter PUF Using Organic Thin Film Transistors
        Z. Qin; M. Shintani; K. Kuribara; Y. Ogasahara; T. Sato
        IEEE International Conference on Solid-State and Integrated Circuit Technology (ICSICT), 2018年11月, 査読有り, 最終著者
      • Enhancing the Solution Quality of Hardware Ising-model Solver via Parallel Tempering
        H. Gyoten; M. Hiromoto; T. Sato
        IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 2018年11月, 査読有り
      • Initial Parameter Extraction Procedure for Surface-potential-based SiC MOSFET Model
        M. Shintani; T. Sato
        Workshop on variability modeling and characterization (VMC), 2018年11月, 査読有り
      • On the Reset Operation of Organic Cross-coupled Inverter
        M. Saito; M. Shintani; K. Kuribara; Y. Ogasahara; M. Hiromoto; T. Sato
        International Conference on Solid State Devices and Materials (SSDM), 2018年09月, 査読有り, 最終著者
      • MRO-PUF: Physically Unclonable Function With Enhanced Resistance Against Machine Learning Attacks Utilizing Instantaneous Output of Ring Oscillator
        M. Hiromoto; M. Yoshinaga; T. Sato
        IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences, 2018年07月, 査読有り, 最終著者
      • Efficient Mini-batch Training on Memristor Neural Network Integrating Gradient Calculation and Weight Update
        S. Yamamori; M. Hiromoto; T. Sato
        IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences, 2018年07月, 査読有り
      • Modeling of Interelectrode Parasitic Elements of V-groove SiC MOSFET
        R. Zhou; M. Shintani; M. Hiromoto; T. Sato
        Nonlinear Theory and Its Applications, IEICE, 2018年07月, 査読有り
      • Fast and Robust Heart Rate Estimation from Videos Through Dynamic Region Selection
        Y. Fujita; M. Hiromoto; T. Sato
        International Engineering in Medicine and Biology Conference (EMBC), 2018年07月, 査読有り, 最終著者
      • Interpolation-based Object Detection Using Motion Vectors for Embedded Real-time Tracking Systems
        T. Ujiie; M. Hiromoto; T. Sato
        IEEE Embedded Vision Workshop, 2018年06月, 査読有り, 最終著者
      • DWE: Decrypting Learning With Errors With Errors
        S. Bian; M. Hiromoto; T. Sato
        ACM/IEEE Design Automation Conference (DAC), 2018年06月, 査読有り, 最終著者
      • Coin Flipping PUF: A Novel PUF With Improved Resistance Against Machine Learning Attacks
        Y. Tanaka; S. Bian; M. Hiromoto; T. Sato
        IEEE Transactions on Circuits and Systems--II: Express Briefs (TCASII), 2018年05月, 査読有り
      • RRAM/CMOS-hybrid Architecture of Annealing Processor for Fully Connected Ising Model
        S. Matsumoto; H. Gyoten; M. Hiromoto; T. Sato
        IEEE International Memory Workshop (IMW), 2018年05月, 査読有り
      • A Plotter-based Automatic Measurements and Statistical Characterization of Multiple Discrete Power Devices
        M. Shintani; B. N. Dauphin; K. Oishi; M. Hiromoto; T. Sato
        International power electronics conference (IPEC), 2018年05月, 査読有り, 最終著者
      • Coin Flipping PUF: A New PUF With Improved Resistance Against Machine Learning Attacks
        Y. Tanaka; S. Bian; M. Hiromoto; T. Sato
        IEEE International Symposium on Circuits and Systems (ISCAS), 2018年05月, 査読有り
      • Mechanically and Electrically Robust Metal-mask Design for Organic CMOS Circuits
        M. Shintani; Z. Qin; K. Kuribara; Y. Ogasahara; M. Hiromoto; T. Sato
        Japanese Journal of Applied Physics (JJAP), 2018年03月, 査読有り
      • Electrical and Thermal Characterization of SiC Power MOSFET (Invited)
        T. Sato; K. Oishi; M. Hiromoto; M. Shintani
        China Semiconductor Technology International Conference (CSTIC), 2018年03月, 査読有り, 招待有り
      • A Study on NBTI-induced Delay Degradation Considering Stress Frequency Dependence
        Z. Shin; S. Morita; S. Bian; M. Shintani; M. Hiromoto; T. Sato
        International Symposium on Quality Electronic Design (ISQED), 2018年03月, 査読有り
      • Ising-PUF: A Machine Learning Attack Resistant PUF Featuring Lattice Like Arrangement of Arbiter-PUFs
        H. Awano; T. Sato
        Design, Automation and Test in Europe (DATE), 2018年03月, 査読有り
      • Efficient Parameter-extraction of SPICE Compact Model Through Automatic Differentiation
        M. Shintani; M. Hiromoto; T. Sato
        IEEE International Conference on Microelectronic Test Structures (ICMTS), 2018年03月, 査読有り
      • A PUF Based on the Instantaneous Response of Ring Oscillator Determined by the Convergence Time of Bistable Ring
        Y. Tanaka; S. Bian; M. Hiromoto; T. Sato
        The 21st workshop on synthesis and system integration of mixed information technologies (SASIMI), 2018年03月, 査読有り
      • A Feasibility Study of Annealing Processor for Fully-connected Ising Model Based on Memristor/CMOS Hybrid Architecture
        S. Matsumoto; H. Gyoten; M. Hiromoto; T. Sato
        The 21st workshop on synthesis and system integration of mixed information technologies (SASIMI), 2018年03月, 査読有り, 最終著者
      • Comparative Study of Delay Degradation Caused by NBTI Considering Stress Frequency Dependence
        Z. Shin; S. Morita; S. Bian; M. Shintani; M. Hiromoto; T. Sato
        The 21st workshop on synthesis and system integration of mixed information technologies (SASIMI), 2018年03月, 査読有り
      • Fast and Robust Heart Rate Estimation Using Inexpensive Cameras Through Dynamic Region Selection
        Y. Fujita; M. Hiromoto; T. Sato
        IEEE Conference on Biomedical and Health Informatics (BHI), 2018年03月, 査読有り
      • Area Efficient Annealing Processor for Ising Model Without Random Number Generator
        H. Gyoten; M. Hiromoto; T. Sato
        IEICE Transactions on Information and Systems, 2018年02月, 査読有り
      • PARHELIA: Particle-filter-based Heart Rate Estimation from Photoplethysmographic Signals During Physical Exercise
        Y. Fujita; M. Hiromoto; T. Sato
        IEEE Transactions on Bio-Medical Engineering, 2018年01月, 査読有り
      • Efficient Exploration of Worst Case Workload and Timing Degradation Under NBTI
        S. Morita; S. Bian; M. Shintani; M. Hiromoto; T. Sato
        ACM/IEEE Asia and South Pacific Design Automation Conference (ASPDAC), 2018年01月, 査読有り
      • Identification and Application of Invariant Critical Paths Under NBTI Degradation
        S. Bian; S. Morita; M. Shintani; H. Awano; M. Hiromoto; T. Sato
        IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences, 2017年12月, 査読有り
      • Efficient Aging-aware Failure Probability Estimation Using Augmented Reliability and Subset Simulation
        H. Awano; T. Sato
        IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences, 2017年12月, 査読有り
      • Parameter Extraction for MOSFEET Current Model Using Backward Propagation of Errors
        M. Shintani; M. Hiromoto; T. Sato
        Workshop on variability modeling and characterization (VMC), 2017年11月, 査読有り
      • A Design-analysis Flow Considering Mechanical Stability of Metal Masks for Organic CMOS Circuits
        M. Shintani; K. Kuribara; Y. Ogasahara; M. Hiromoto; T. Sato
        International Conference on Solid State Devices and Materials (SSDM), 2017年09月, 査読有り
      • RTN in Scaled Transistors for On-chip Random Seed Generation
        A. Mohanty; K. Sutaria; H. Awano; T. Sato; Y. Cao
        IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 2017年08月, 査読有り
      • Utilization of Path-clustering in Efficient Stress-control Gate Replacement for NBTI Mitigation
        S. Morita; S. Bian; M. Shintani; M. Hiromoto; T. Sato
        IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences, 2017年07月, 査読有り
      • LSTA
        Song Bian; Michihiro Shintani; Masayuki Hiromoto; Takashi Sato
        Proceedings of the 54th Annual Design Automation Conference 2017, 2017年06月18日
      • LSTA: Learning-based Static Timing Analysis for High-dimensional Correlated On-chip Variations
        S. Bian; M. Shintani; M. Hiromoto; T. Sato
        ACM/IEEE Design Automation Conference (DAC), 2017年06月, 査読有り, 最終著者
      • Scalable Device Array for Statistical Characterization of BTI-related Parameters
        H. Awano; S. Morita; T. Sato
        IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 2017年04月, 査読有り
      • Measurement and Modeling of Gate-drain Capacitance of Silicon Carbide Vertical Double-diffused MOSFET
        M. Shintani; Y. Nakamura; M. Hiromoto; T. Hikihara; T. Sato
        Japanese Journal of Applied Physics (JJAP), 2017年03月, 査読有り
      • Comparative Study of Path Selection and Objective Function in Replacing NBTI Mitigation Logic
        S. Morita; S. Bian; M. Shintani; M. Hiromoto; T. Sato
        International Symposium on Quality Electronic Design (ISQED), 2017年03月, 査読有り
      • Input Capacitance Determination of Power MOSFETs from Switching Trajectories
        K. Oishi; M. Shintani; M. Hiromoto; T. Sato
        IEEE International Conference on Microelectronic Test Structures (ICMTS), 2017年03月, 査読有り
      • SCAM: Secured Content Addressable Memory Based on Homomorphic Encryption
        S. Bian; M. Hiromoto; T. Sato
        Design, Automation and Test in Europe (DATE), 2017年03月, 査読有り
      • Device Identification from Mixture of Measurable Characteristics
        M. Shintani; K. Oishi; R. Zhou; M. Hiromoto; T. Sato
        IEEE Applied Power Electronics Conference and Exposition (APEC), 2017年03月, 査読有り
      • Efficient Circuit Failure Probability Calculation Along Product Lifetime Considering Device Aging
        H. Awano; M. Hiromoto; T. Sato
        ACM/IEEE Asia and South Pacific Design Automation Conference (ASPDAC), 2017年01月, 査読有り
      • Pattern Based Runtime Voltage Emergency Prediction: An Instruction-aware Block Sparse Compressed Sensing Approach
        Y. Chen; M. Shintani; T. Sato; Y. Shi; S. Chang
        ACM/IEEE Asia and South Pacific Design Automation Conference (ASPDAC), 2017年01月, 査読有り
      • Hardware Accelerator of Convolutional Neural Network for Image Recognition and Its Performance Evaluation Platform
        T. Ujiie; M. Hiromoto; T. Sato
        The 20th workshop on synthesis and system integration of mixed information technologies (SASIMI), 2016年11月, 査読有り
      • Path Grouping Approach for Efficient Candidate-selection of Replacing NBTI Mitigation Logic
        S. Morita; S. Bian; M. Shintani; M. Hiromoto; T. Sato
        The 20th workshop on synthesis and system integration of mixed information technologies (SASIMI), 2016年11月, 査読有り
      • Thermal Circuit Identification of Power MOSFETs Through In-situ Channel Temperature Estimation
        K. Oishi; M. Shintani; M. Hiromoto; T. Sato
        The 20th workshop on synthesis and system integration of mixed information technologies (SASIMI), 2016年11月, 査読有り
      • Unique Device Identification Framework for Power MOSFETs Using Inherent Device Variation
        M. Shintani; K. Oishi; R. Zhou; M. Hiromoto; T. Sato
        Workshop on variability modeling and characterization (VMC), 2016年11月, 査読有り
      • Representative Path Approach for Time-efficient NBTI Mitigation Logic Replacement
        S. Morita; S. Bian; M. Shintani; M. Hiromoto; T. Sato
        Workshop on variability modeling and characterization (VMC), 2016年11月, 査読有り
      • Analysis of Transient Behavior of SiC Power MOSFETs Based on Surface Potential Model and Its Application to Boost Converter
        T. Okuda; Y. Nakamura; M. Shintani; T. Sato; T. Hikihara
        IEEE Workshop on Wide Bandgap Power Devices and Applications (WiPDA), 2016年11月, 査読有り
      • A Circuit Simulation Model for V-groove SiC Power MOSFET
        M. Shintani; K. Oishi; R. Zhou; M. Hiromoto; T. Sato
        IEEE Workshop on Wide Bandgap Power Devices and Applications (WiPDA), 2016年11月, 査読有り
      • Identifications of Thermal Equivalent Circuit for Power MOSFETs Through In-situ Channel Temperature Estimation
        K. Oishi; M. Shintani; M. Hiromoto; T. Sato
        IEEE Workshop on Wide Bandgap Power Devices and Applications (WiPDA), 2016年11月, 査読有り
      • Runtime NBTI Mitigtion for Processor Lifespan Extension via Selective Node Control
        S. Bian; M. Shintani; Z. Wang; M. Hiromoto; A. Chattopadhyay; T. Sato
        IEEE Asian Test Symposium (ATS), 2016年11月, 査読有り
      • A Charge Based SiC Power MOSFET Model Considering On-state Resistance
        R. Zhou; M. Shintani; M. Hiromoto; T. Sato
        International Symposium on Nonlinear Theory and Its Applications (NOLTA), 2016年11月, 査読有り
      • A Design Example of Class-E Based Gate Driver for High Frequency Operation of SiC Power MOSFET
        M. Shintani; S. Yuchong; H. Sekiya; T. Sato
        International Symposium on Nonlinear Theory and Its Applications (NOLTA), 2016年11月, 査読有り
      • Path Clustering for Test Pattern Reduction of Variation-aware Adaptive Path Delay Testing
        M. Shintani; T. Uezono; K. Hatayama; K. Masu; T. Sato
        Journal of Electronic Testing: Theory and Applications (JETTA), 2016年10月, 査読有り
      • Approximated Prediction Strategy for Reducing Power Consumption of Convolutional Neural Network Processor
        T. Ujiie; M. Hiromoto; T. Sato
        IEEE Embedded Vision Workshop, 2016年09月, 査読有り
      • A Simulation Model for SiC Power MOSFET Based on Surface Potential
        Y. Nakamura; M. Shintani; K. Oishi; T. Sato; T. Hikihara
        International Conference on Simulation of Semiconductor Processes and Devices (SISPAD), 2016年09月, 査読有り
      • A Surface-potential-based Reverse-transfer Capacitance Model for Vertical SiC DMOSFET
        M. Shintani; Y. Nakamura; M. Hiromoto; T. Sato
        International Conference on Solid State Devices and Materials (SSDM), 2016年09月, 査読有り
      • Efficient Aging-aware SRAM Failure Probability Calculation via Particle Filter-based Importance Sampling
        H. Awano; M. Hiromoto; T. Sato
        IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences, 2016年07月, 査読有り
      • Fast Estimation of NBTI-induced Delay Degradation Based on Signal Probability
        S. Bian; M. Shintani; M. Hiromoto; T. Sato
        IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences, 2016年07月, 査読有り
      • Efficient Transistor-level Timing Yield Estimation via Line Sampling
        H. Awano; T. Sato
        ACM/IEEE Design Automation Conference (DAC), 2016年06月, 査読有り, 最終著者
      • Workload-aware Worst Path Analysis of Processor-scale NBTI Degradation
        S. Bian; M. Shintani; S. Morita; H. Awano; M. Hiromoto; T. Sato
        ACM Great Lakes Symposium on VLSI (GLSVLSI), 2016年05月, 査読有り
      • Physically Unclonable Function Using RTN-induced Delay Fluctuation in Ring Oscillators
        M. Yoshinaga; H. Awano; M. Hiromoto; T. Sato
        IEEE International Symposium on Circuits and Systems (ISCAS), 2016年05月, 査読有り
      • Circuit Aging - Measurement Techniques
        Takashi Sato; Hidetoshi Onodera
        IEEE International Reliability Physics Symposium, Monday Tutorial, TU2-6, 2016年04月, 招待有り, 筆頭著者
      • Circuit Aging - Measurement Techniques
        Takashi Sato; Hidetoshi Onodera
        IEEE International Reliability Physics Symposium, 2016年04月, 招待有り
      • Mitigation of NBTI-induced Timing Degradation in Processor
        S. Bian; M. Shintani; Z. Wang; M. Hiromoto; A. Chattopadhyay; T. Sato
        ACM International Workshop on Timing Issues in the Specification and Synthesis of Digital Systems (TAU), 2016年03月, 査読有り
      • Nonlinear Delay-table Approach for Full-chip NBTI Degradation Prediction
        S. Bian; M. Shintani; S. Morita; M. Hiromoto; T. Sato
        International Symposium on Quality Electronic Design (ISQED), 2016年03月, 査読有り
      • A High Power Curve Tracer for Characterizing Full Operational Range of SiC Power Transistors
        Y. Nakamura; M. Shintani; T. Sato; T. Hikihara
        IEEE International Conference on Microelectronic Test Structures (ICMTS), 2016年03月, 査読有り
      • Fast Monte Carlo for Timing Yield Estimation via Line Sampling
        H. Awano; T. Sato
        Workshop on variability modeling and characterization (VMC), 2015年11月
      • An Error Correction Scheme Through Time Redundancy for Enhancing Persistent Soft-error Tolerance of CGRAs
        T. Imagawa; M. Hiromoto; H. Ochi; T. Sato
        IEICE Transactions on Electronics, 2015年07月, 査読有り
      • ECRIPSE: An Efficient Method for Calculating RTN-induced Failure Probability of an SRAM Cell
        H. Awano; M. Hiromoto; T. Sato
        Design, Automation and Test in Europe (DATE), 2015年03月, 査読有り
      • Accelerating Random-walk-based Power Grid Analysis Through Error Smoothing
        T. Okazaki; M. Hiromoto; T. Sato
        The 19th workshop on synthesis and system integration of mixed information technologies (SASIMI), 2015年03月, 査読有り
      • Sensorless estimation of global device-parameters based on F<inf>max</inf> testing
        Michihiro Shintani; Takashi Sato
        IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD, 2015年01月05日, 査読有り
      • On-chip characterization of statistical device degradation
        Takashi Sato; Hiromitsu Awano
        Circuit Design for Reliability, 2015年01月01日, 査読有り
      • Automation of Model Parameter Estimation for Random Telegraph Noise
        H. Shimizu; H. Awano; M. Hiromoto; T. Sato
        IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences, 2014年12月, 査読有り
      • Sensorless Estimation of Global Device-parameters Through Fmax Testing
        M. Shintani; T. Sato
        IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 2014年11月, 査読有り
      • A Case Study of Chinese Calligraphic Style Classification Using Deep Neural Network
        M. Hiromoto; T. Sato
        International Workshop on Smart Info-Media Systems in Asia (SISA), 2014年10月, 査読有り
      • A Quadrocopter Automatic Control Contest as an Example of Interdisciplinary Design Education
        C. Nitschke; Y. Minami; M. Hiromoto; H. Ohshima; T. Sato
        14th International Conference on Control, Automation and Systems (ICCAS 2014), 2014年10月, 査読有り
      • A Scalable Device Array for Statistical Device-aging Characterization (Invited)
        T. Sato; H. Awano; M. Hiromoto
        IEEE International Conference on Solid-State and Integrated Circuit Technology (ICSICT), 2014年10月, 査読有り, 招待有り
      • BTIarray: A Time-overlapping Transistor Array for Efficient Statistical Characterization of Bias Temperature Instability
        H. Awano; M. Hiromoto; T. Sato
        IEEE Transactions on Device and Materials Reliability, 2014年09月, 査読有り
      • Variability in Device Degradations: Statistical Observation of NBTI for 3996 Transistors
        H. Awano; M. Hiromoto; T. Sato
        Solid-State Device Research Conference (ESSDERC), 2014年09月, 査読有り
      • IDDQ Outlier Screening Through Two-phase Approach: Clustering-based Filtering and Estimation-based Current-threshold Determination
        M. Shintani; T. Sato
        IEICE Transactions on Information and Systems, 2014年08月, 査読有り
      • Multilevel reliability simulation for IC design
        Ketul B. Sutaria; Jyothi B. Velamala; Venkatesa Ravi; Gilson Wirth; Takashi Sato; Yu Cao
        Bias Temperature Instability for Devices and Circuits, 2014年07月01日, 査読有り
      • Charge trapping in MOSFETS: BTI and RTN modeling for circuits
        Gilson Wirth; Yu Cao; Jyothi B. Velamala; Ketul B. Sutaria; Takashi Sato
        Bias Temperature Instability for Devices and Circuits, 2014年07月01日, 査読有り
      • A Variability-aware Adaptive Test Flow for Test Quality Improvement
        M. Shintani; T. Uezono; T. Takahashi; K. Hatayama; T. Aikyo; K. Masu; T. Sato
        IEEE Transactions on Computer-Aided Design, 2014年07月, 査読有り
      • Aging Statistics Based on Trapping/detrapping: Compact Modeling and Silicon Validation
        K. B. Sutaria; J. B. Velamala; C. Kim; T. Sato; Y. Cao
        IEEE Transactions on Device and Materials Reliability, 2014年06月, 査読有り
      • A Low Cost Capacitor Approach for Suppressing Resonance in Power Distribution Networks
        K. Yamanaga; H. Yamamoto; T. Sato
        International Symposium on Electromagnetic Compatibility, Tokyo (EMC Tokyo), 2014年05月, 査読有り
      • Hypersphere Sampling for Accelerating High-dimension and Low-failure Probability Circuit-yield Analysis
        S. Hagiwara; T. Date; K. Masu; T. Sato
        IEICE Transactions on Electronics, 2014年04月, 査読有り
      • Experimental Validation of Minimum Operating Voltage Estimation for Low Supply Voltage Circuits
        T. Sato; J. Kawashima; H. Tsutsui; H. Ochi
        International Symposium on Quality Electronic Design (ISQED), 2014年03月, 査読有り
      • Automation of Model Parameter Estimation for Random Telegraph Noise
        SHIMIZU Hirofumi; AWANO Hiromitsu; HIROMOTO Masayuki; SATO Takashi
        IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences, 2014年
      • State-dependence of On-chip Power Distribution Network Capacitance
        K. Yamanaga; R. Takahashi; S. Hagiwara; K. Masu; T. Sato
        IEICE Transactions on Electronics, 2014年01月, 査読有り
      • 経時劣化概説
        佐藤高史; 橋本 昌宜
        日本信頼性学会誌, 2013年12月, 査読有り, 招待有り
      • Time Dependent Degradation (Invited)
        T. Sato; M. Hashimoto
        The Journal of Reliability Engineering Association of Japan, 2013年12月, 査読有り, 招待有り
      • Compact Modeling of Statistical BTI Under Trapping/detrapping
        J. B. Velamala; K. B. Sutaria; H. Shimizu; H. Awano; T. Sato; G. Wirth; Y. Cao
        IEEE Transactions on Electron Devices, 2013年11月, 査読有り
      • Statistical Observation of NBTI and PBTI Degradations
        H. Awano; M. Hiromoto; T. Sato
        Workshop on variability modeling and characterization (VMC), 2013年11月
      • A Device Array for Flexible BTI Characterization (Invited Talk)
        T. Sato
        Workshop on variability modeling and characterization (VMC), 2013年11月
      • Place-and-route Algorithms for a Reliability-oriented Coarse-grained Reconfigurable Architecture Using Time Redundancy
        T. Imagawa; M. Hiromoto; H. Tsutsui; H. Ochi; T. Sato
        The 18th workshop on synthesis and system integration of mixed information technologies (SASIMI), 2013年10月, 査読有り
      • Statistical Simulation Methods for Analyzing Performance of Low Supply Voltage Circuits (Invited)
        T. Sato
        The IEEE 10th International Conference on ASIC (ASICON), 2013年09月, 査読有り, 招待有り
      • Histogram Propagation Based Statistical Timing Analysis Using Dependent Node Selection
        S. Zhang; H. Tsutsui; H. Ochi; T. Sato
        The 28th International Technical Conference on Circuits/Systems, Computers and Communications (ITC-CSCC), 2013年06月, 査読有り
      • Architecture for Sealed Wafer-scale Mask ROM for Long-term Digital Data Preservation
        S. Matsuda; T. Imagawa; H. Tsutsui; T. Sato; Y. Nakamura; H. Ochi
        The 28th International Technical Conference on Circuits/Systems, Computers and Communications (ITC-CSCC), 2013年06月, 査読有り
      • Fast and Memory-efficient GPU Implementations of Krylov Subspace Methods for Efficient Power Grid Analysis
        T. Morishita; H. Tsutsui; H. Ochi; T. Sato
        ACM Great Lakes Symposium on VLSI (GLSVLSI), 2013年05月, 査読有り
      • A Cost-effective Selective TMR for Coarse-grained Reconfigurable Architectures Based on DFG-level Vulnerability Analysis
        T. Imagawa; H. Tsutsui; H. Ochi; T. Sato
        IEICE Transactions on Electronics, 2013年04月, 査読有り
      • Parallel Acceleration Scheme for Monte Carlo Based SSTA Using Generalized STA Processing Element
        H. Yuasa; H. Tsutsui; H. Ochi; T. Sato
        IEICE Transactions on Electronics, 2013年04月, 査読有り
      • Logarithmic Modeling of BTI Under Dynamic Circuit Operations: Static, Dynamic and Long-term Prediction
        J. B. Velamala; K. B. Sutaria; H. Shimizu; H. Awano; T. Sato; G. Wirth; Y. Cao
        IEEE International Reliability Physics Symposium (IRPS), 2013年04月, 査読有り
      • Multi-trap RTN Parameter Extraction Based on Bayesian Inference
        H. Awano; H. Tsutsui; H. Ochi; T. Sato
        International Symposium on Quality Electronic Design (ISQED), 2013年03月, 査読有り
      • High-speed DFG-level SEU Vulnerability Analysis for Applying Selective TMR to Resource-constrained CGRA
        T. Imagawa; H. Tsutsui; H. Ochi; T. Sato
        International Symposium on Quality Electronic Design (ISQED), 2013年03月, 査読有り
      • Hot-swapping Architecture With Back-biased Testing for Mitigation of Permanent Faults in Functional Unit Array
        Z. E. Rakossy; M. Hiromoto; H. Tsutsui; T. Sato; Y. Nakamura; H. Ochi
        Design, Automation and Test in Europe (DATE), 2013年03月, 査読有り
      • A Cost-effective Selective TMR for Heterogeneous Coarse-grained Reconfigurable Architectures Based on DFG-level Vulnerability Analysis
        T. Imagawa; H. Tsutsui; H. Ochi; T. Sato
        Design, Automation and Test in Europe (DATE), 2013年03月, 査読有り
      • Device-parameter Estimation Through IDDQ Signatures
        M. Shintani; T. Sato
        IEICE Transactions on Information and Systems, 2013年02月, 査読有り
      • Realization of Frequency-domain Circuit Analysis Through Random Walk
        T. Miyakawa; H. Tsutsui; H. Ochi; T. Sato
        ACM/IEEE Asia and South Pacific Design Automation Conference (ASPDAC), 2013年01月, 査読有り
      • An Adaptive Current-threshold Determination for IDDQ Testing Based on Bayesian Process Parameter Estimation
        M. Shintani; T. Sato
        ACM/IEEE Asia and South Pacific Design Automation Conference (ASPDAC), 2013年01月, 査読有り
      • A Variability-aware Energy-minimization Strategy for Subthreshold Circuits
        J. Kawashima; H. Tsutsui; H. Ochi; T. Sato
        IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences, 2012年12月, 査読有り
      • Power Distribution Network Optimization for Timing Improvement With Statistical Noise Model and Timing Analysis
        T. Enami; T. Sato; M. Hashimoto
        IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences, 2012年12月, 査読有り
      • Bayesian Estimation of Multi-trap RTN Parameters Using Markov Chain Monte Carlo Method
        H. Awano; H. Tsutsui; H. Ochi; T. Sato
        IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences, 2012年12月, 査読有り
      • Adaptive Current-threshold Determination for Accurate IDDQ Testing
        M. Shintani; T. Sato
        Workshop on variability modeling and characterization (VMC), 2012年11月
      • The Odd Couple: Antiresonance Control by Two Capacitors of Unequal Series Resistances
        K. Yamanaga; T. Sato
        The 21st conference on electrical performance of electronic packaging and systems (EPEPS), 2012年10月, 査読有り
      • Statistical Aging Under Dynamic Voltage Scaling: A Logarithmic Model Approach
        J. B. Velamala; K. B. Sutaria; H. Shimizu; H. Awano; T. Sato; Y. Cao
        IEEE Custom Integrated Circuits Conference (CICC), 2012年09月, 査読有り
      • Physics Matters: Statistical Aging Prediction Under Trapping/detrapping
        J. B. Velamala; K. B. Sutaria; T. Sato; Y. Cao
        ACM/IEEE Design Automation Conference (DAC), 2012年06月, 査読有り
      • Aging Statistics Based on Trapping/detrapping: Silicon Evidence, Modeling and Long-term Prediction
        J. B. Velamala; K. B. Sutaria; T. Sato; Y. Cao
        IEEE International Reliability Physics Symposium (IRPS), 2012年04月, 査読有り
      • A Bayesian-based Process Parameter Estimation Using IDDQ Current Signature
        M. Shintani; T. Sato
        IEEE VLSI Test Symposium (VTS), 2012年04月, 査読有り
      • Hardware Architecture for Accelerating Monte Carlo Based SSTA Using Generalized STA Processing Element
        H. Yuasa; H. Tsutsui; H. Ochi; T. Sato
        The 17th workshop on synthesis and system integration of mixed information technologies (SASIMI), 2012年03月, 査読有り
      • GPU Acceleration of Cycle-based Soft-error Simulation for Reconfigurable Array Architectures
        T. Imagawa; T. Oue; H. Tsutsui; H. Ochi; T. Sato
        The 17th workshop on synthesis and system integration of mixed information technologies (SASIMI), 2012年03月, 査読有り
      • Statistical Observations of NBTI-induced Threshold Voltage Shifts on Small Channel-area Devices
        T. Sato; H. Awano; H. Shimizu; H. Tsutsui; H. Ochi
        International Symposium on Quality Electronic Design (ISQED), 2012年03月, 査読有り
      • Acceleration Scheme for Monte Carlo Based SSTA Using Generalized STA Processing Element
        H. Yuasa; H. Tsutsui; H. Ochi; T. Sato
        ACM International Workshop on Timing Issues in the Specification and Synthesis of Digital Systems (TAU), 2012年01月, 査読有り
      • Getting the Most Out of IDDQ Testing
        M. Shintani; T. Sato
        Workshop on variability modeling and characterization (VMC), 2011年11月
      • Statistical Aging Prediction and Characterization Using Trapping/detrapping Based NBTI Models
        J. B. Velamala; T. Sato; Y. Cao
        Workshop on variability modeling and characterization (VMC), 2011年11月
      • A Device Array for Efficient Bias-temperature Instability Measurements
        T. Sato; T. Kozaki; T. Uezono; H. Tsutsui; H. Ochi
        Solid-State Device Research Conference (ESSDERC), 2011年09月, 査読有り
      • A Design Strategy for Sub-threshold Circuits Considering Energy-minimization and Yield-maximization
        J. Kawashima; H. Tsutsui; H. Ochi; T. Sato
        IEEE International SOC Conference (SOCC), 2011年09月, 査読有り
      • A Stress-parallelized Device Array for Efficient Bias-temperature Stability Measurement
        T. Sato; T. Kozaki; T. Uezono; H. Tsutsui; H. Ochi
        The 5th IEEE International Workshop on Design for Manufacturability & Yield (DFM&Y), 2011年06月, 査読有り
      • Acceleration of Random-walk-based Linear Circuit Analysis Using Importance Sampling
        T. Miyakawa; K. Yamanaga; H. Tsutsui; H. Ochi; T. Sato
        ACM Great Lakes Symposium on VLSI (GLSVLSI), 2011年05月, 査読有り
      • A Fully Pipelined Implementation of Monte Carlo Based SSTA on FPGAs
        H. Yuasa; H. Tsutsui; H. Ochi; T. Sato
        International Symposium on Quality Electronic Design (ISQED), 2011年03月, 査読有り
      • Linear Time Calculation of On-chip Power Distribution Network Capacitance Considering State-dependence
        S. Hagiwara; K. Yamanaga; R. Takahashi; K. Masu; T. Sato
        IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences, 2010年12月, 査読有り
      • Reliability Evaluation Environment for Exploring Design Space of Coarse-grained Reconfigurable Architectures
        T. Imagawa; M. Hiromoto; H. Ochi; T. Sato
        IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences, 2010年12月, 査読有り
      • Sequential Importance Sampling for Low-probability and High-dimensional SRAM Yield Analysis
        K. Katayama; S. Hagiwara; H. Tsutsui; H. Ochi; T. Sato
        IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 2010年11月, 査読有り, 最終著者, 責任著者
      • A Transistor-array for Parallel BTI-effects Measurements
        T. Uezono; T. Kozaki; H. Ochi; T. Sato
        Workshop on variability modeling and characterization (VMC), 2010年11月
      • A Tool Chain for Generating SEU-vulnerability Map for Coarse-grained Reconfigurable Architecture
        T. Imagawa; M. Hiromoto; H. Ochi; T. Sato
        The 25th International Technical Conference on Circuits/Systems, Computers and Communications (ITC-CSCC), 2010年07月, 査読有り
      • A Routing Architecture Exploration for Coarse-grained Reconfigurable Architecture With Automated SEU-tolerance Evaluation
        T. Imagawa; M. Hiromoto; H. Ochi; T. Sato
        IEEE International SOC Conference (SOCC), 2010年07月, 査読有り
      • Application of Generalized Scattering Matrix for Prediction of Power Supply Noise
        K. Yamanaga; K. Masu; T. Sato
        ACM/IEEE International Workshop on System Level Interconnect Prediction (SLIP), 2010年06月, 査読有り
      • Decomposition of Drain-current Variation Into Gain-factor and Threshold Voltage Variations
        T. Sato; T. Uezono; N. Nakayama; K. Masu
        IEEE International Symposium on Circuits and Systems (ISCAS), 2010年05月, 査読有り
      • Small Delay and Area Overhead Process Parameter Estimation Through Path-delay Inequalities
        T. Uezono; T. Takahashi; M. Shintani; K. Hatayama; K. Masu; H. Ochi; T. Sato
        IEEE International Symposium on Circuits and Systems (ISCAS), 2010年05月, 査読有り
      • A Universal Equivalent Circuit Model for Ceramic Capacitors
        K. Yamanaga; S. Amakawa; K. Masu; T. Sato
        IEICE Transactions on Electronics, 2010年04月, 査読有り
      • Path Clustering for Adaptive Test
        T. Uezono; T. Takahashi; M. Shintani; K. Hatayama; K. Masu; H. Ochi; T. Sato
        IEEE VLSI Test Symposium (VTS), 2010年04月, 査読有り
      • A Time-slicing Ring Oscillator for Capturing Time-dependent Delay Degradation and Power Supply Voltage Fluctuation
        T. Uezono; K. Masu; T. Sato
        IEICE Transactions on Electronics, 2010年03月, 査読有り
      • Impact of Self-heating in Wire Interconnection on Timing
        T. Kanamoto; T. Okumura; K. Furukawa; H. Takafuji; A. Kurokawa; K. Hachiya; T. Sakata; M. Tanaka; H. Nakashima; H. Masuda; T. Sato; M. Hashimoto
        IEICE Transactions on Electronics, 2010年03月, 査読有り
      • Robust Importance Sampling for Efficient SRAM Yield Analysis
        T. Date; S. Hagiwara; K. Masu; T. Sato
        International Symposium on Quality Electronic Design (ISQED), 2010年03月, 査読有り
      • Linear Time Calculation of State-dependent Power Distribution Network Capacitance
        S. Hagiwara; K. Yamanaga; R. Takahashi; K. Masu; H. Ochi; T. Sato
        International Symposium on Quality Electronic Design (ISQED), 2010年03月, 査読有り
      • Modeling the Overshooting Effect for CMOS Inverter Delay Analysis
        Z. Huang; A. Kurokawa; M. Hashimoto; T. Sato; M. Jiang; Y. Inoue
        IEEE Transactions on Computer-Aided Design, 2010年02月, 査読有り
      • An Approach for Reducing Leakage Current Variation Due to Manufacturing Variability
        T. Sakata; T. Okumura; A. Kurokawa; H. Nakashima; H. Masuda; T. Sato; M. Hashimoto; K. Hachiya; K. Furukawa; M. Tanaka; H. Takafuji; T. Kanamoto
        IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences, 2009年12月, 査読有り
      • Accurate Array-based Measurement for Subthreshold-current of MOS Transistors
        T. Sato; H. Ueyama; N. Nakayama; K. Masu
        IEEE Journal of Solid-State Circuits, 2009年11月, 査読有り
      • On-die Parameter Extraction from Path-delay Measurements
        T. Takahashi; T. Uezono; M. Shintani; K. Masu; T. Sato
        IEEE Asian solid-state circuit conference (ASSCC), 2009年11月, 査読有り
      • Interconnect Modeling: A Physical Design Perspective (Invited)
        A. Kurokawa; T. Sato; T. Kanamoto; M. Hashimoto
        IEEE Transactions on Electron Devices, 2009年09月, 査読有り, 招待有り
      • An Adaptive Test for Parametric Faults Based on Statistical Timing Information
        M. Shintani; T. Uezono; T. Takahashi; H. Ueyama; T. Sato; K. Hatayama; T. Aikyo; K. Masu
        IEEE Asian Test Symposium (ATS), 2009年09月, 査読有り
      • Bridging the Gap Between Laboratory Measurement and Simulation Model (Invited)
        T. Sato
        International Workshop on Emerging Circuits and Systems (IWECS), 2009年07月, 招待有り
      • Two-dimensional Moment Method for Analyzing Current Distribution of a Ceramic Capacitor
        K. Yamanaga; S. Amakawa; T. Sato; K. Masu
        International Symposium on Electromagnetic Compatibility (EMC Kyoto), 2009年07月, 査読有り
      • Physical Design Challenges to Nano-CMOS Circuits (Invited)
        K. Masu; N. Ishihara; N. Nakayama; T. Sato; S. Amakawa
        IEICE Electronics Express (ELEX), 2009年06月, 査読有り, 招待有り
      • 2つのキャパシタと1本の電源配線で構成した電磁放射低減電源デカップリング回路のQFPパッケージLSIへの適用
        佐々木 英樹; 原田 高志; 栗山 敏秀; 佐藤 高史; 益 一哉
        電子情報通信学会論文誌, 2009年05月, 査読有り
      • Application of the EMI Decoupling Circuit Consisting of Two Capacitors and a Power Trace to Quad Flat Package (QFP) LSI
        H. Sasaki; T. Harada; T. Kuriyama; T. Sato; K. Masu
        IEICE Transactions on Communications (Japanese Edition), 2009年05月, 査読有り
      • 製造ばらつきに起因するリーク電流変動の低減アプローチ
        佐方剛; 黒川敦; 奥村隆昌; 中島英斉; 増田弘生; 佐藤高史; 橋本昌宜; 蜂屋孝太郎; 古川且洋; 田中正和; 高藤浩資; 金本俊幾
        電子情報通信学会 回路とシステム(軽井沢)ワークショップ, 2009年04月, 査読有り
      • 2-port Modeling Technique for Surface-mount Passive Components
        K. Yamanaga; T. Sato; K. Masu
        IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences, 2009年04月, 査読有り
      • Improvement in Computational Accuracy of Output Transition Time Variation Considering Threshold Voltage Variations
        T. Okumura; A. Kurokawa; H. Masuda; T. Kanamoto; M. Hashimoto; H. Takafuji; H. Nakashima; N. Ono; T. Sakata; T. Sato
        IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences, 2009年04月, 査読有り
      • One-shot Voltage-measurement Circuit Utilizing Process Variation
        T. Uezono; T. Sato; K. Masu
        IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences, 2009年04月, 査読有り
      • Analytical Estimation of Path-delay Variation for Multi-threshold CMOS Circuits
        S. Hagiwara; T. Sato; K. Masu
        IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences, 2009年04月, 査読有り
      • S-parameter-based Modal Decomposition of Multiconductor Transmission Lines and Its Application to De-embedding
        S. Amakawa; K. Yamanaga; H. Ito; T. Sato; N. Ishihara; K. Masu
        IEEE International Conference on Microelectronic Test Structures (ICMTS), 2009年03月, 査読有り
      • A MOS Transistor Array With Pico-ampere Order Precision for Accurate Characterization of Leakage Current Variation
        T. Sato; H. Ueyama; N. Nakayama; K. Masu
        IEEE Asian solid-state circuit conference (ASSCC), 2008年11月, 査読有り
      • Decoupling Capacitance Allocation for Timing With Statistical Noise Model and Timing Analysis
        T. Enami; M. Hashimoto; T. Sato
        IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 2008年11月, 査読有り
      • An Efficient Extraction of Random and Systematic Gate-length Variation Through Poly-Si Resistor Measurement
        N. Nakayama; T. Sato; H. Ueyama; K. Masu
        Workshop on Test Structure Design for Variability Characterization, 2008年11月, 査読有り
      • Non-invasive Direct Probing for On-chip Voltage Measurement
        T. Sato; K. Yamanaga; K. Masu
        International SoC Design Conference (ISOCC), 2008年11月, 査読有り
      • Accurate Parasitic Inductance Determination of a Ceramic Capacitor Through 2-port Measurements
        K. Yamanaga; T. Sato; K. Masu
        The 17th topical meeting on electrical performance of electronic packaging (EPEP), 2008年10月, 査読有り
      • Layout-aware Compact Model of MOSFET Characteristics Variations Induced by STI Stress
        K. Yamada; T. Sato; N. Nakayama; S. Amakawa; K. Masu; S. Kumashiro
        IEICE Transactions on Electronics, 2008年07月, 査読有り
      • Non-parametric Statistical Static Timing Analysis: An SSTA Framework for Arbitrary Distribution
        M. Imai; T. Sato; N. Nakayama; K. Masu
        ACM/IEEE Design Automation Conference (DAC), 2008年06月, 査読有り
      • On-chip Differential and Common Mode Voltage Measurement Using Off-chip Referenced Twin Probing
        K. Yamanaga; T. Sato; K. Masu
        IEEE Workshop on Signal Propagation on Interconnects (SPI), 2008年05月, 査読有り
      • Substrate-geometry Aware 2-port Modeling for Surface-mount Passive Components
        K. Yamanaga; T. Sato; K. Masu
        19th International Zurich Symposium on Electromagnetic Compatibility, 2008年05月, 査読有り
      • Vthばらつきに拠る出力遷移時間ばらつきの解析
        奥村隆昌; 黒川敦; 増田弘生; 金本俊幾; 佐藤高史; 橋本昌宜; 高藤浩資; 中島英斉; 小野信任
        電子情報通信学会 回路とシステム(軽井沢)ワークショップ, 2008年04月, 査読有り
      • An Evaluation Method of the Number of Monte Carlo STA Trials for Statistical Path Delay Analysis
        M. Imai; T. Sato; N. Nakayama; K. Masu
        IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences, 2008年04月, 査読有り
      • Application of Correlation-based Regression Analysis for Improvement of Power Distribution Network
        S. Hagiwara; T. Uezono; T. Sato; K. Masu
        IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences, 2008年04月, 査読有り
      • Timing Analysis Considering Temporal Supply Voltage Fluctuation
        M. Hashimoto; J. Yamaguchi; T. Sato; H. Onodera
        IEICE Transactions on Information and Systems, 2008年03月, 査読有り
      • Determination of Optimal Polynomial Regression Function to Decompose On-die Systematic and Random Variations
        T. Sato; H. Ueyama; N. Nakayama; K. Masu
        ACM/IEEE Asia and South Pacific Design Automation Conference (ASPDAC), 2008年01月, 査読有り
      • Validation of a Full-chip Simulation Model for Supply Noise and Delay Dependence on Average Voltage Drop With On-chip Delay Measurement
        Y. Ogasahara; T. Enami; M. Hashimoto; T. Sato; T. Onoye
        IEEE Transactions on Circuits and Systems--II: Express Briefs (TCASII), 2007年10月, 査読有り
      • A Study on Variation-component Decomposition Using Polynomial Smoothing Function
        T. Sato; H. Ueyama; N. Nakayama; K. Masu
        The 14th workshop on synthesis and system integration of mixed information technologies (SASIMI), 2007年10月, 査読有り
      • Weakness Identification for Effective Repair of Power Distribution Network
        T. Sato; S. Hagiwara; T. Uezono; K. Masu
        17th International Workshop on Power and Timing Modeling, Optimization and Simulation (PATMOS), 2007年09月, 査読有り
      • Proposal of Metrics for SSTA Accuracy Evaluation
        H. Kobayashi; N. Ono; T. Sato; J. Iwai; H. Nakashima; T. Okumura; M. Hashimoto
        IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences, 2007年04月, 査読有り
      • セル間接続方向限定性とセル配置粗密性を考慮した配線長分布
        天川 修平; 上薗 巧; 佐藤 高史; 益 一哉
        電子情報通信学会総合大会講演論文集, 2007年03月07日
      • Improvement of Power Distribution Network Using Correlation-based Regression Analysis
        S. Hagiwara; T. Uezono; T. Sato; K. Masu
        ACM Great Lakes Symposium on VLSI (GLSVLSI), 2007年03月, 査読有り
      • Adaptable Wire-length Distribution With Tunable Occupation Probability
        S. Amakawa; T. Uezono; T. Sato; K. Masu
        ACM/IEEE International Workshop on System Level Interconnect Prediction (SLIP), 2007年03月, 査読有り
      • A MOS Transistor-array for Accurate Measurement of Subthreshold Leakage Variation
        T. Sato; T. Uezono; S. Hagiwara; K. Okada; S. Amakawa; N. Nakayama; K. Masu
        International Symposium on Quality Electronic Design (ISQED), 2007年03月, 査読有り
      • A Multi-drop Transmission-line Interconnect in Si LSI
        J. Seita; H. Ito; K. Okada; T. Sato; K. Masu
        ACM/IEEE Asia and South Pacific Design Automation Conference (ASPDAC), 2007年01月, 査読有り
      • On-chip Thermal Gradient Analysis Considering Interdependence Between Leakage Power and Temperature
        T. Sato; J. Ichimiya; N. Ono; M. Hashimoto
        IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences, 2006年12月, 査読有り
      • A Left Handed Material on Si CMOS Chip With Wafer Level Package Process
        J. Kim; T. Yammouchi; K. Okada; T. Sato; K. Masu
        Asia Pacific Microwave Conference (APMC), 2006年12月, 査読有り
      • Thermal Driven Module Placement Using Sequence-pair
        M. Okada; C. Kodama; T. Sato; K. Fujiyoshi
        Asia Pacific Conference on Circuits and Systems (APCCAS), 2006年12月, 査読有り
      • Measurement Results of Delay Degradation Due to Power Supply Noise Well Correlated With Full-chip Simulation
        Y. Ogasahara; T. Enami; M. Hashimoto; T. Sato; T. Onoye
        IEEE Custom Integrated Circuits Conference (CICC), 2006年09月, 査読有り
      • A Method to Derive SSO Design Rule Considering Jitter Constraint
        K. Hachiya; H. Kobayashi; T. Okumura; T. Sato; H. Oka
        IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences, 2006年04月, 査読有り
      • A time-slicing ring oscillator for capturing instantaneous delay degradation and power supply voltage drop
        Takashi Sato; Yu Matsumoto; Koji Hirakimoto; Michio Komoda; Junichi Mano
        Proceedings of the Custom Integrated Circuits Conference, 2006年, 査読有り
      • Successive pad assignment for minimizing supply voltage drop
        T. Sato; M. Hashimoto; H. Onodera
        IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences, 2005年12月, 査読有り
      • Successive Pad Assignment Algorithm to Optimize Number and Location of Power Supply Pad Using Incremental Matrix Inversion
        T. Sato; M. Hashimoto; H. Onodera
        IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences, 2005年12月, 査読有り
      • On-chip Thermal Gradient Analysis and Temperature Flattening for SoC Design
        T. Sato; J. Ichimiya; N. Ono; K. Hachiya; M. Hashimoto
        IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences, 2005年12月, 査読有り
      • Design and Measurement of an Inductance-oscillator for Analyzing On-chip Inductance Impact on Wire Delay
        T. Sato; H. Masuda
        Journal of Analog Integrated Circuits and Signal Processing, 2005年03月, 査読有り
      • aSuccessive Pad Assignment Algorithm to Optimize Number and Location of Power Supply Pad Using Incremental Matrix Inversion
        T. Sato; M. Hashimoto; H. Onodera
        ACM/IEEE Asia and South Pacific Design Automation Conference (ASPDAC), 2005年01月, 査読有り
      • On-chip Thermal Gradient Analysis and Temperature Flattening for SoC Design
        T. Sato; J. Ichimiya; N. Ono; K. Hachiya; M. Hashimoto
        ACM/IEEE Asia and South Pacific Design Automation Conference (ASPDAC), 2005年01月, 査読有り
      • Timing Analysis Considering Temporal Supply Voltage Fluctuation
        M. Hashimoto; J. Yamaguchi; T. Sato; H. Onodera
        ACM/IEEE Asia and South Pacific Design Automation Conference (ASPDAC), 2005年01月, 査読有り
      • An IR-drop Minimization by Optimizing Number and Location of Power Supply Pads
        T. Sato; M. Hashimoto; H. Onodera
        The 12th workshop on synthesis and system integration of mixed information technologies (SASIMI), 2004年10月, 査読有り
      • Probabilistic Crosstalk Delay Estimation for ASICs
        K. Takeuchi; K. Yanagisawa; T. Sato; K. Sakamoto; S. Hojo
        IEEE Transactions on Computer-Aided Design, 2004年09月, 査読有り
      • Approximation Formula Approach for the Efficient Extraction of On-chip Inductance
        A. Kurokawa; T. Sato; H. Masuda
        IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences, 2003年12月, 査読有り
      • Spice Up Your MOSFET Modeling
        Y. Cao; M. Orshansky; T. Sato; D. Sylvester; C. Hu
        IEEE Circuits and Devices Magazine, 2003年07月, 査読有り
      • Bi-directional Closed-form Transformation Between On-chip Coupling Noise Waveforms and Interconnect Delay Change Curves
        T. Sato; Y. Cao; K. Agarwal; D. Sylvester; C. Hu
        IEEE Transactions on Computer-Aided Design, 2003年05月, 査読有り
      • 遅延計算におけるインダクタンスを考慮すべき配線の統計的選別手法
        金本 俊幾; 佐藤 高史; 黒川 敦; 川上 善之; 岡 宏規; 北浦 智靖; 小林 宏之; 橋本 昌宜
        情報処理学会論文誌, 2003年05月, 査読有り
      • A Statistical Methodology for Screening Inductance Dominated Interconnects in Timing Analysis
        T. Kanamoto; T. Sato; A. Kurokawa; Y. Kawakami; H. Oka; T. Kitaura; H. Kobayashi; M. Hashimoto
        Information Processing Society of Japan, 2003年05月, 査読有り
      • Fast On-chip Inductance Extraction of VLSI Including Angled Interconnects
        A. Kurokawa; K. Hachiya; T. Sato; K. Tokumasu; H. Masuda
        IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences, 2003年04月, 査読有り
      • Design and Measurement of an Inductance-oscillator for Analyzing Inductance Impact on On-chip Interconnect Delay
        T. Sato; H. Masuda
        International Symposium on Quality Electronic Design (ISQED), 2003年03月, 査読有り
      • Approximate Formulae Approach for Efficient Inductance Extraction
        A. Kurokawa; T. Sato; H. Masuda
        ACM/IEEE Asia and South Pacific Design Automation Conference (ASPDAC), 2003年01月, 査読有り
      • Accurate Prediction of the Impact of On-chip Inductance on Interconnect Delay Using Electrical and Physical Parameter-based RSF
        T. Sato; T. Kanamoto; A. Kurokawa; Y. Kawakami; H. Oka; T. Kitaura; H. Kobayashi; M. Hashimoto
        ACM/IEEE Asia and South Pacific Design Automation Conference (ASPDAC), 2003年01月, 査読有り
      • Efficient Generation of Delay Change Curves for Noise-aware Static Timing Analysis
        K. Agarwal; Y. Cao; T. Sato; D. Sylvester; C. Hu
        ACM/IEEE Asia and South Pacific Design Automation Conference (ASPDAC), 2002年01月, 査読有り
      • Accurate In-situ Measurement of Noise Peak and Delay Induced by Interconnect Coupling
        T. Sato; D. Sylvester; Y. Cao; C. Hu
        IEEE Journal of Solid-State Circuits, 2001年10月, 査読有り
      • New Approaches to Noise-aware Static Timing Analysis
        Y. Cao; T. Sato; X. Huang; C. Hu; D. Sylvester
        ACM/IEEE International Workshop on Timing Issues in the Specification and Synthesis of Digital Systems (TAU), 2000年12月, 査読有り
      • Characterization of Interconnect Coupling Noise Using In-situ Delay-change Curve Measurements
        T. Sato; Y. Cao; D. Sylvester; C. Hu
        13th IEEE International ASIC/SOC Conference, 2000年09月, 査読有り
      • New Paradigm of Predictive CMOS Modeling for Early Circuit Simulation
        Y. Cao; T. Sato; M. Orshansky; D. Sylvester; C. Hu
        IEEE Custom Integrated Circuits Conference (CICC), 2000年05月, 査読有り
      • A 5 GBytes/s Data Transfer Scheme With Bit-to-bit Skew Control for Synchronous DRAM
        T. Sato; Y. Nishio; T. Sugano; Y. Nakagome
        IEEE Journal of Solid-State Circuits, 1999年05月, 査読有り

      MISC

      • TFHEとB/FV-big-numberを用いた秘匿計算に向けた暗号方式 間相互変換手続きの提案
        永井 寿弥, 松岡 航太郎, 佐藤 高史
        暗号とセキュリティシンポジウム, 2025年01月, 最終著者
      • LWEを用いたGarbled CircuitとTFHEによる検証可能論理回路秘匿演算基盤
        松岡 航太郎, 辺 松, 佐藤 高史
        暗号とセキュリティシンポジウム, 2025年01月, 査読有り, 最終著者
      • スパースガウス過程回帰に基づく極低温トランジスタ電流モデリング
        岩崎 哲朗; 佐藤 高史; 新谷 道広
        信学技報 VLD研究会(デザインガイア), 2024年11月
      • 同一ロット内のウェハ間特性変化類似性を考慮したガウス過程回帰に基づくLSI良品判定手法
        五枝 大典; 中村 友紀; 梶山 賀生; 栄木 誠; 高山 創; 佐藤 高史; 新谷 道広
        情報処理学会SLDM研究発表会, 2024年11月
      • 高誘電率ポリマー誘電体の大気コーティングによる有機薄膜トランジスタの低 電圧動作
        栗原 一徳; 佐藤高史; 武居 淳; 吉田 学; 日下 靖之
        応用物理学会秋季学術講演会, 2024年09月
      • 通信需要と電波環境の同時変化環境における基地局 ON/OFF 最適化
        中平 俊朗; 山本 高至; 佐藤 高史
        電子情報通信学会 ソサイエティ大会, 2024年09月
      • 誤り耐性量子コンピュータの実現に向けた表面符号デコーダの極低温CMOS集積回路による実装検討
        王 若泰; 佐藤 高史; 粟野 皓光
        DAシンポジウム, 2024年08月
      • 4.2Kでの65nmバルクpMOSトランジスタにおけるランダムテレグラフノイズの評価
        川上 拓真; 佐藤 高史; 粟野 皓光
        DAシンポジウム, 2024年08月
      • ニューロモーフィックチップAkidaにおける高電力効率な推論のためのゼロアウェアなSNN学習法
        羽原 丈博; 佐藤 高史; 粟野 皓光
        DAシンポジウム, 2024年08月
      • 180nmプロセス試作チップに基づくトランジスタのばらつきを利用したハードウェアESNの検討
        宇都宮 優巳; 村田 寛也; 佐藤 高史; 粟野 皓光
        DAシンポジウム, 2024年08月
      • Optimizing Prime Factorization Scalability via Quantum Annealing with Analytical and Pattern-Based Variable Reduction under Partial Constraints
        Xinyi Guo; Geguang Miao; Shinichi Nishizawa; Shinji Kimura; Takashi Sato
        DAシンポジウム, 2024年08月, 最終著者
      • WiFiビームフォーミングフィードバックに基づく呼吸数 及び心拍数推定に関する検討
        神田 高望; 近藤綜太; 下村大貴; 佐藤 高史; 粟野 皓光; 近藤 綜太; 山本高至
        信学技報 SRW SeMI CNR, 2023年01月
      • Wi-Fiパケットキャプチャを用いた睡眠時の姿勢推定
        平井 貴之; 粟野 皓光; 佐藤 高史
        信学技報 MICT研究会, 2023年01月, 最終著者
      • Integer-wise型TFHEの算術演算の高速化
        松岡 航太郎; 星月 祐介; 佐藤 高史; Song Bian
        暗号とセキュリティシンポジウム, 2023年01月
      • ランダムテレグラフノイズモデル化のためのパラメータ推定法の検討
        粟野 皓光; 清水 裕史; 筒井 弘; 越智 裕之; 佐藤 高史
        研究報告システムLSI設計技術(SLDM), 2011年11月21日
      • ゼロ分散推定重点的サンプリングを用いたランダムウオークによる線形回路の過渡解析
        宮川 哲朗; 筒井 弘; 越智 裕之; 佐藤 高史
        研究報告システムLSI設計技術(SLDM), 2011年11月21日
      • ブロック反復法による電源回路網解析の高速化
        森下 拓海; 筒井 弘; 越智 裕之; 佐藤 高史
        研究報告システムLSI設計技術(SLDM), 2011年11月21日
      • A-3-14 リーク電流測定用トランジスタアレイ回路の測定(A-3. VLSI設計技術,一般セッション)
        植山 寛之; 佐藤 高史; 中山 範明; 益 一哉
        電子情報通信学会総合大会講演論文集, 2008年03月05日
      • A-3-7 電源電圧降下の相関を用いる電源網の定量的評価(A-3.VLSI設計技術,一般講演)
        萩原 汐; 上薗 巧; 佐藤 高史; 益 一哉
        電子情報通信学会総合大会講演論文集, 2007年03月07日
      • Power MOSFETs Identification Using Machine Learning on Characteristic Curves (電子通信エネルギー技術)
        ドーファン ベンジャミン; 大石 一輝; 新谷 道広; 廣本 正之; 佐藤 高史
        電子情報通信学会技術研究報告 = IEICE technical report : 信学技報, 2017年07月27日
      • H-2-20 格子状電極を用いたジェスチャ認識向け電界センサによる導電体位置推定精度の評価(H-2.ヒューマン情報処理,一般セッション)
        岸野 瞬士; 廣本 正之; 佐藤 高史
        電子情報通信学会基礎・境界ソサイエティ/NOLTAソサイエティ大会講演論文集, 2016年03月01日
      • RTN起因のリングオシレータ発振周波数変動を利用したPUF (VLSI設計技術)
        吉永 幹; 粟野 皓光; 廣本 正之; 佐藤 高史
        電子情報通信学会技術研究報告 = IEICE technical report : 信学技報, 2015年03月02日
      • RTNを考慮したSRAM不良確率の高速計算
        粟野 皓光; 廣本 正之; 佐藤 高史
        研究報告システムとLSIの設計技術(SLDM), 2014年11月19日
      • C-12-18 EM法によるMOSデバイス界面状態数の自動推定(デジタル集積回路,C-12.集積回路,一般セッション)
        清水 裕史; 筒井 弘; 越智 裕之; 佐藤 高史
        電子情報通信学会ソサイエティ大会講演論文集, 2011年08月30日
      • D-10-16 統計的タイミング情報に基づく適応型テスト(D-10. ディペンダブルコンピューティング,一般セッション)
        新谷 道広; 高橋 知之; 植山 寛之; 上薗 巧; 佐藤 高史; 畠山 一実; 相京 隆; 益 一哉
        電子情報通信学会総合大会講演論文集, 2009年03月04日
      • D-10-17 適応型テストにおけるクリティカルパスのクラスタリング手法(D-10. ディペンダブルコンピューティング,一般セッション)
        上薗 巧; 高橋 知之; 植山 寛之; 新谷 道弘; 佐藤 高史; 益 一哉
        電子情報通信学会総合大会講演論文集, 2009年03月04日
      • A-3-2 論理セル遅延の電圧・プロセスばらつき感度の検討(A-3.VLSI設計技術,一般セッション)
        高橋 知之; 植山 寛之; 萩原 汐; 佐藤 高史; 益 一哉
        電子情報通信学会ソサイエティ大会講演論文集, 2008年09月02日
      • A Method to Derive SSO Design Rule Considering Jitter Constraint
        HACHIYA Koutaro; KOBAYASHI Hiroyuki; OKUMURA Takaaki; SATO Takashi; OKA Hiroki
        IEICE transactions on fundamentals of electronics, communications and computer sciences, 2006年04月01日
      • 完全準同型暗号におけるBNNを用いた高速な秘匿推論手法の実装と評価
        橋詰 陽太; 古川 修平; 松本 直樹; 伴野 良太郎; 松岡 航太郎; 佐藤 高史
        情報処理学会第84回全国大会, 2022年03月, 最終著者
      • 高精度過渡解析に向けたスイッチング波形によるパワーMOSFETゲート入力容量測定手法
        西谷 洋太; 新谷 道広; 井上 美智子; 佐藤 高史
        電気学会研究会資料半導体電力変換研究会(SPC), 2022年03月, 最終著者
      • 統計的回路シミュレーションのための非正規分布モデルパラメータの生成
        佐藤 高史; 塚本 裕貴; 辺 松; 新谷 道広
        信学技報SDM2021-54, 2021年11月, 招待有り, 筆頭著者, 責任著者
      • 量子計算の誤り軽減のための量子ビット初期配置手法
        瀬川 七央; 佐藤 高史
        第34回 回路とシステムワークショップ論文集, 2021年08月, 査読有り, 最終著者
      • 商用Wi-Fiデバイスを使用したピーク位置推定に基づく心拍変動の推定
        白神 樹; 佐藤 高史
        第34回 回路とシステムワークショップ論文集, 2021年08月, 査読有り, 最終著者
      • 複数カメラと粒子フィルタを用いた体動にロバストな心拍推定手法
        一柳 優太; 佐藤 高史
        第34回 回路とシステムワークショップ論文集, 2021年08月, 査読有り, 最終著者
      • n型有機薄膜トランジスタにおけるバイアス・ストレス特性変動物理メカニズムの実験的評価
        大島 國弘; 栗原 一徳; 辺 松; 佐藤 高史
        DAシンポジウム, 2020年08月, 査読有り, 最終著者
      • 畳み込みニューラルネットワークを利用した光電容積脈波からの運動時心拍推定手法
        中村 公暉; 廣本 正之; 佐藤 高史
        回路とシステムワークショップ, 2019年08月, 査読有り, 最終著者
      • 有機薄膜トランジスタの実測に基づくバイアス・ストレス劣化の要因とモデル化に関する検討
        大島 國弘; 齋藤 成晃; 新谷 道広; 栗原 一徳; 小笠原 泰弘; 佐藤 高史
        DA シンポジウム, 2019年08月, 査読有り, 最終著者
      • レプリカ交換イジングモデルソルバにおけるレプリカトポロジーと温度割当方法に関する検討
        党 璋; 佐藤 高史
        信学技報 VLD研究会, 2019年05月, 最終著者
      • Towards Practical Homomorphic Email Filtering: A Hardware-accelerated Secure Naive Bayesian Filter
        S. Bian; M. Hiromoto; T. Sato
        IEICE Technical Report, 2019年02月, 最終著者
      • レプリカセンサを用いたNBTIによる回路特性変動予測に関する検討
        大島 國弘; 辺 松; 廣本 正之; 佐藤 高史
        信学技報 VLD研究会(デザインガイア), 2018年11月, 最終著者
      • NBTI劣化によるArbiter PUFの応答変化に関する検討
        小野 龍輝; 田中 悠貴; 新 瑞徳; 辺 松; 廣本 正之; 佐藤 高史
        電子情報通信学会 総合大会, 2018年09月, 最終著者
      • メモリスタを用いた等価な応答を返すPUF対の検討
        田中 悠貴; 辺 松; 廣本 正之; 佐藤 高史
        DA シンポジウム, 2018年08月, 査読有り, 最終著者
      • Approximate computing を用いたLWE暗号の高効率復号回路
        辺 松; 廣本 正之; 佐藤 高史
        DA シンポジウム, 2018年08月, 査読有り
      • ストカスティック計算を用いたニューラルネットワークハードウェアのための省面積積和演算器
        名倉 健太; 廣本 正之; 佐藤 高史
        信学技報 VLSI設計技術研究会, 2018年06月
      • 多ビットの相互作用をもつ全接続イジングモデルのためのRRAMアニーリングプロセッサ
        松本 章吾; 業天 英範; 廣本 正之; 佐藤 高史
        回路とシステムワークショップ, 2018年05月, 査読有り
      • 有機トランジスタによるBuskeeper PUFの試作と連続測定のためのリセット回路の検討
        齊藤 成晃; 新谷 道広; 栗原 一徳; 小笠原 泰弘; 廣本 正之; 佐藤 高史
        回路とシステムワークショップ, 2018年05月, 査読有り
      • 畳み込みニューラルネットワークの周波数領域学習による演算量削減
        三宅 哲史; 廣本 正之; 佐藤 高史
        回路とシステムワークショップ, 2018年05月, 査読有り
      • 動画像コーデックの動きベクトル援用によるCNN物体検出の負荷緩和
        氏家 隆之; 廣本正之; 佐藤 高史
        情報処理学会 第210回コンピュータビジョンとイメージメディア研究発表会, 2018年01月
      • 双安定リング回路の収束時間により瞬時値応答を得る発振回路PUF
        田中 悠貴; 辺 松; 廣本 正之; 佐藤 高史
        信学技報 VLD研究会(デザインガイア), 2017年11月
      • SiCパワーMOSFETの特性測定とモデル化(招待)
        佐藤 高史; 大石一輝; 廣本正之; 新谷道広
        信学技報 SDM研究会, 2017年11月, 招待有り
      • 汎用ゲートドライバICを利用したパワーMOSFETの3レベルアクティブゲート駆動回路
        大石 一輝; 新谷 道広; 廣本 正之; 佐藤 高史
        電気学会研究会資料半導体電力変換研究会(SPC), 2017年11月
      • 有機トランジスタCMOS回路製造のためのメタルマスクの要素設計ルールに関する一検討
        Qin Zhaoxing; 新谷 道広; 廣本 正之; 栗原 一徳; 小笠原 泰弘; 佐藤 高史
        電子情報通信学会 総合大会, 2017年09月
      • Secured Content Addressable Memory Based on Homomorphic Encryption
        S. Bian; M. Hiromoto; T. Sato
        DA Symposium, 2017年08月, 査読有り
      • チャレンジヒステリシス特性を有するPUFの設計とシミュレーションに基づく性能評価
        粟野 皓光; 佐藤 高史
        DA シンポジウム, 2017年08月, 査読有り
      • 特性曲線を特徴ベクトルとした機械学習によるパワーデバイスの個体識別
        ベンジャミン ドーファン; 大石 一輝; 新谷 道広; 廣本 正之; 佐藤 高史
        電気学会研究会資料半導体電力変換研究会(SPC), 2017年07月
      • プロッタを利用したディスクリートパワーデバイスの自動測定装置
        ベンジャミン ドーファン; 大石 一輝; 新谷 道広; 廣本 正之; 佐藤 高史
        電気学会研究会資料半導体電力変換研究会(SPC), 2017年06月
      • 回路シミュレーションによる電力変換回路の熱・電気連成解析を目指して --- 自己発熱を考慮したパワーMOSFETのモデリング ---(招待)
        佐藤 高史; 大石 一輝; 新谷 道広; 廣本 正之
        回路とシステムワークショップ, 2017年05月, 査読有り, 招待有り
      • 特性測定に基づくパワーデバイスの自己発熱モデルを利用した電力変換回路の電気・熱連成解析
        大石 一輝; 新谷 道広; 廣本 正之; 佐藤 高史
        回路とシステムワークショップ, 2017年05月, 査読有り
      • トランジスタ劣化の永続・回復可能成分を考慮したしきい値電圧変動の時間依存モデル
        新 瑞徳; 森田 俊平; 新谷 道新; 廣本 正之; 佐藤 高史
        回路とシステムワークショップ, 2017年05月, 査読有り
      • Line samplingを用いたモンテカルロ法に基づくタイミング歩留り解析の高速化
        粟野 皓光; 佐藤 高史
        信学技報 VLSI設計技術研究会, 2017年03月
      • 動画のフレーム間相関を利用した圧縮センシングの高速復元手法
        小西 慧; 廣本 正之; 佐藤 高史
        信学技報 スマートインフォメディアシステム研究会, 2017年03月
      • イジングモデルのソフトウェア実装による最大カット問題の求解性能評価
        岡本 浩尚; 業天 英範; 廣本 正之; 佐藤 高史
        電子情報通信学会 総合大会, 2017年03月
      • 手の動画像からの心拍間隔推定に関する一検討
        藤田 雄也; 廣本 正之; 佐藤 高史
        電子情報通信学会 総合大会, 2017年03月
      • 演算簡略化手法評価のための畳み込みニューラルネットワークのFPGA実装
        氏家 隆之; 廣本 正之; 佐藤 高史
        第42回パルテノン研究会, 2016年12月
      • イジングモデルのFPGA実装による最大カット問題の求解速度評価
        業天 英範; 廣本 正之; 佐藤 高史
        第42回パルテノン研究会, 2016年12月
      • スイッチング波形を利用したパワーMOSFETの入力容量測定とモデル化
        大石 一輝; 新谷 道広; 廣本 正之; 佐藤 高史
        電気学会研究会資料半導体電力変換研究会(SPC), 2016年11月
      • Aging-aware Timing Analysis Based on Machine Learning
        S. Bian; M. Shintani; M. Hiromoto; T. Sato
        DA Symposium, 2016年09月, 査読有り
      • PWM電力制御によるパワーデバイスの熱伝達特性測定
        大石 一輝; 新谷 道広; 廣本 正之; 佐藤 高史
        電子情報通信学会 ソサイエティ大会 基礎・境界/NOLTA講演論文集, 2016年09月
      • V溝構造SiCパワーMOSFETの静特性モデル化に関する一考察
        新谷 道広; 大石 一輝; 周 瑞; 廣本 正之; 佐藤 高史
        電子情報通信学会 ソサイエティ大会 基礎・境界/NOLTA講演論文集, 2016年09月
      • Binarized Neural Networkを用いた画像認識ハードウェアの消費エネルギー評価
        三宅 哲史; 氏家 隆之; 廣本 正之; 佐藤 高史
        電子情報通信学会 ソサイエティ大会 基礎・境界/NOLTA講演論文集, 2016年09月
      • NBTIによるしきい値電圧変動のストレス確率依存性の評価
        忻 瑞徳; 森田 俊平; 新谷 道広; 廣本 正之; 佐藤 高史
        電子情報通信学会 ソサイエティ大会 基礎・境界/NOLTA講演論文集, 2016年09月
      • しきい値電圧ばらつきによるBistable Ring PUFの応答予測
        田中 悠貴; 吉永 幹; 廣本 正之; 佐藤 高史
        電子情報通信学会 ソサイエティ大会 基礎・境界/NOLTA講演論文集, 2016年09月
      • 顔と手の連続静止画からの脈波伝播時間推定に関する一検討
        藤田 雄也; 廣本 正之; 佐藤 高史
        電子情報通信学会 ソサイエティ大会, 2016年09月
      • ばらつきを考慮したメモリスタモデルによるニューラルネットワークの学習収束性の評価
        山森 聡; 廣本 正之; 佐藤 高史
        電子情報通信学会 ソサイエティ大会 エレクトロニクス講演論文集2, 2016年09月
      • 有機トランジスタにおける漏れ電流特性のモデル化
        齊藤 成晃; 新谷 道広; 小笠原 泰弘; 廣本 正之; 佐藤 高史
        電子情報通信学会 ソサイエティ大会 エレクトロニクス講演論文集2, 2016年09月
      • 表面ポテンシャルモデルを用いたSiCパワーMOSFETの過渡解析および昇圧回路への応用
        奥田 貴史; 中村 洋平; 新谷 道広; 佐藤 高史; 引原 隆士
        電気学会産業応用部門大会(JIASC), 2016年08月
      • 零電圧スイッチング動作に基づくゲートドライバのための設計解析式の検討
        新谷 道広; 孫 宇チョン; 関谷 大雄; 佐藤 高史
        電気学会研究会資料半導体電力変換研究会(SPC), 2016年07月
      • 近似的予測戦略に基づく畳み込みニューラルネットワークプロセッサの低電力化
        氏家 隆之; 廣本 正之; 佐藤 高史
        回路とシステムワークショップ, 2016年05月, 査読有り
      • 信号確率伝播に基づくプロセッサのためのNBTI起因最大遅延パスの抽出
        辺 松; 新谷 道広; 森田 俊平; 粟野 浩光; 廣本 正之; 佐藤 高史
        回路とシステムワークショップ, 2016年05月, 査読有り
      • 代表パス抽出による劣化緩和セル置換箇所の高速な選択手法
        森田 俊平; 辺 松; 新谷 道広; 廣本 正之; 佐藤 高史
        回路とシステムワークショップ, 2016年05月, 査読有り
      • パッケージの熱伝達特性推定に基づくパワーMOSFET自己発熱モデル
        大石 一輝; 新谷 道広; 廣本 正之; 佐藤 高史
        回路とシステムワークショップ, 2016年05月, 査読有り
      • ゲート電圧依存性を考慮した縦型SiCパワーMOSFETのボディダイオードモデル
        周 瑞; 新谷 道広; 廣本 正之; 佐藤 高史
        回路とシステムワークショップ, 2016年05月, 査読有り
      • 粒子フィルタを用いた光電脈波信号からの運動時心拍数推定手法
        藤田 雄也; 廣本 正之; 佐藤 高史
        回路とシステムワークショップ, 2016年05月, 査読有り
      • 最大カット問題の高速求解に向けた二次元イジングモデルのFPGA実装
        業天 英範; 廣本 正之; 佐藤 高史
        信学技報 VLSI設計技術研究会, 2016年03月
      • 格子状電極を用いたジェスチャ認識向け電界センサによる導電体位置推定精度の評価
        岸野 瞬士; 廣本 正之; 佐藤 高史
        電子情報通信学会 総合大会(於 九州大学) 基礎・境界講演論文集, 2016年03月
      • Sパラメータに基づく等価回路を利用したDC-DCコンバータの高周波ノイズモデリング
        高垣 勇登; 黒谷 欣吾; 日高 青路; 廣本 正之; 佐藤 高史
        電気学会全国大会講演論文集, 2016年03月
      • 表面電位に基づくSiCパワーMOSFETモデルを用いたE級増幅器の回路シミュレーションに関する検討
        新谷 道広; 廣本 正之; 佐藤 高史
        電気学会全国大会講演論文集, 2016年03月
      • モンテカルロ法に基づくタイミング歩留り解析の高速化
        粟野 皓光; 佐藤 高史
        信学技報 VLSI設計技術研究会(デザインガイア), 2015年12月
      • SiCパワーMOSFETにおける帰還容量の測定とモデル化
        新谷 道広; 中村 洋平; 廣本 正之; 引原 隆士; 佐藤 高史
        電気学会研究会資料半導体電力変換研究会(SPC), 2015年12月
      • 電荷基準モデルに基づく縦型SiCパワーMOSFETのトランジスタモデル
        周 瑞; 新谷 道広; 廣本 正之; 佐藤 高史
        電気学会研究会資料半導体電力変換研究会(SPC), 2015年10月
      • 粒子フィルタを用いた運動時ノイズに頑健な心拍数推定アルゴリズム
        藤田 雄也; 廣本 正之; 佐藤 高史
        電子情報通信学会 ソサイエティ大会, 2015年09月
      • 二次元イジングモデルによる最大カット問題の求解における収束の早いスピン更新方法の検討
        業天 英範; 廣本 正之; 佐藤 高史
        電子情報通信学会 ソサイエティ大会, 2015年09月
      • プロセッサのNBTI劣化緩和法における劣化抑止制御回路の置換箇所削減に関する一検討
        森田 俊平; 辺 松; 新谷 道広; 廣本 正之; 佐藤 高史
        電子情報通信学会 ソサイエティ大会, 2015年09月
      • SiCパワーMOSFET寄生ダイオードのPN接合ダイオードモデルを用いたモデル化
        大石 一輝; 新谷 道広; 廣本 正之; 佐藤 高史
        電子情報通信学会 ソサイエティ大会, 2015年09月
      • 低電圧畳み込みニューラルネットワーク回路における演算誤り緩和に向けたプーリング手法の検討
        氏家 隆之; 大荷 唯明; 廣本 正之; 佐藤 高史
        電子情報通信学会 ソサイエティ大会, 2015年09月
      • 電荷基準モデルに基づく縦型SiCパワーMOSFETの電流特性モデル化の検討
        周 瑞; 新谷 道広; 廣本 正之; 佐藤 高史
        電子情報通信学会 ソサイエティ大会, 2015年09月
      • 低電圧畳み込みニューラルネットワーク回路における演算誤り緩和に向けたプーリング手法の検討(A-3.VLSI設計技術,一般セッション)
        氏家 隆之; 大荷 唯明; 廣本 正之; 佐藤 高史
        電子情報通信学会基礎・境界ソサイエティ/NOLTAソサイエティ大会講演論文集, 2015年08月25日
      • Fast Estimation on NBTI-induced Delay Degradation Based on Signal Probability
        S. Bian; M. Shintani; M. Hiromoto; T. Sato
        DA Symposium, 2015年08月, 査読有り
      • ばらつき考慮シミュレーションの最近の動向(招待)
        佐藤 高史
        回路とシステムワークショップ, 2015年08月, 査読有り, 招待有り
      • 圧縮センシング向けイメージセンサにおける省電力な観測行列生成回路
        小西 慧; 廣本 正之; 佐藤 高史
        回路とシステムワークショップ, 2015年08月, 査読有り
      • ニューラルネットワークハードウェアの低電圧動作時における演算誤り緩和
        大荷 唯明; 廣本 正之; 佐藤 高史
        回路とシステムワークショップ, 2015年08月, 査読有り
      • デバイス特性の経年劣化に起因する不良確率変化の効率的な解析手法
        粟野 皓光; 廣本 正之; 佐藤 高史
        DA シンポジウム, 2015年08月, 査読有り
      • 命令セットアーキテクチャによる劣化抑止ゲート制御を用いたプロセッサNBTI劣化緩和手法
        辺 松; 新谷 道広; Zheng Wang; 廣本 正之; Anupam Chattopadhyay; 佐藤 高史
        信学技報 VLSI設計技術研究会, 2015年03月
      • RTN起因のリングオシレータ発振周波数変動を利用したPUF
        吉永 幹; 粟野 皓光; 廣本 正之; 佐藤 高史
        信学技報 VLSI設計技術研究会, 2015年03月
      • パワーMOSFETデバイスモデルに向けた自動モデルパラメータ決定手法に関する一検討
        新谷 道広; 廣本 正之; 佐藤 高史
        電気学会全国大会講演論文集, 2015年03月
      • RTNを考慮したSRAM不良確率の高速計算
        粟野 皓光; 廣本 正之; 佐藤 高史
        信学技報 VLD研究会(デザインガイア), 2014年11月
      • ランダムテレグラフノイズを用いたチップ識別手法の一検討
        吉永 幹; 粟野 皓光; 廣本 正之; 佐藤 高史
        電子情報通信学会 ソサイエティ大会, 2014年09月
      • フリップフロップの最小動作電圧計測のためのFPGAを用いた自動設計環境の構築
        岡崎 剛; 川島 潤也; 廣本 正之; 佐藤 高史
        第40回パルテノン研究会, 2014年09月
      • 粗粒度再構成可能アーキテクチャ向けの省メモリな耐ソフトエラー時間多重化手法
        今川 隆司; 廣本 正之; 越智 裕之; 佐藤 高史
        第40回パルテノン研究会, 2014年09月
      • An Experimental Study on Interdigital Capacitance Sensor for Detecting Heart Rate
        X. Cao; M. Hiromoto; T. Sato
        Workshop on Circuits and Systems, 2014年08月, 査読有り
      • 高次元回路歩留まり解析高速化のための最急降下法を用いた不良領域探索
        木村 和紀; 廣本 正之; 佐藤 高史
        回路とシステムワークショップ, 2014年08月, 査読有り
      • 低電圧起動回路を用いた省電力チップ間非接触通信回路 (VLSI設計技術)
        佐川 善彦; 廣本 正之; 佐藤 高史; 越智 裕之
        電子情報通信学会技術研究報告 = IEICE technical report : 信学技報, 2014年05月29日
      • ランダムウォーク電源網解析の高速化に向けた節点解析順序の検討(回路・ゲートレベル,システム設計及び一般)
        岡崎 剛; 廣本 正之; 佐藤 高史
        電子情報通信学会技術研究報告. VLD, VLSI設計技術, 2014年05月22日
      • ランダムウォーク電源網解析の高速化に向けた節点解析順序の検討
        岡崎 剛; 廣本 正之; 佐藤 高史
        情報処理学会SLDM研究会, 2014年05月
      • 低電圧起動回路を用いた省電力チップ間非接触通信回路
        佐川 善彦; 廣本 正之; 佐藤 高史; 越智 裕之
        情報処理学会SLDM研究会, 2014年05月
      • 最大動作周波数テストの枠組みを用いたデバイスパラメータ推定手法
        新谷 道弘; 佐藤 高史
        電子情報通信学会ディペンダブルコンピューティング研究会, 2014年02月
      • 3端子コンデンサにおける周波数特性の有理関数近似と等価回路表現
        高垣 勇登; 三舩 洋嗣; 日高青路; 廣本 正之; 佐藤 高史
        電子情報通信学会EMCJ研究会, 2014年01月
      • A-4-12 TV最適化を用いた可変レート圧縮センシング(A-4.信号処理,一般セッション)
        丹 羅; 藤田 隆史; 廣本 正之; 佐藤 高史
        電子情報通信学会ソサイエティ大会講演論文集, 2013年09月03日
      • TV最適化を用いた可変レート圧縮センシング
        羅 丹; 藤田 隆史; 廣本 正之; 佐藤 高史
        電子情報通信学会 ソサイエティ大会(於 福岡工業大学), 2013年09月
      • トランジスタアレイを用いたBTI劣化の統計的観測
        粟野 皓光; 佐藤 高史
        DA シンポジウム, 2013年08月
      • 3996トランジスタにおけるNBTI劣化の統計的ばらつき
        粟野 皓光; 廣本 正之; 佐藤 高史
        DA シンポジウム, 2013年08月
      • 低電源電圧におけるフリップフロップの故障モードの解析
        藤田 隆史; 川島 潤也; 廣本 正之; 筒井 弘; 越智 裕之; 佐藤 高史
        電子情報通信学会ICD研究会, 2013年07月
      • SRAM 回路解析における最小ノルム不良サンプルと歩留りの関係
        木村 和紀; 筒井 弘; 越智 裕之; 佐藤 高史
        回路とシステムワークショップ, 2013年07月, 査読有り
      • 画像の圧縮センシングにおける圧縮率の適応的変更手法
        藤田 隆史; 筒井 弘; 越智 裕之; 佐藤 高史
        回路とシステムワークショップ, 2013年07月, 査読有り
      • 準ゼロ分散推定と誤差平滑化処理を併用するランダムウォーク電源網解析
        岡崎 剛; 筒井 弘; 越智 裕之; 佐藤 高史
        回路とシステムワークショップ, 2013年07月, 査読有り
      • C-12-7 回路構造の異なるラッチの消費エネルギーの比較(C-12.集積回路)
        藤田 隆史; 筒井 弘; 越智 裕之; 佐藤 高史
        電子情報通信学会総合大会講演論文集, 2013年03月05日
      • パラメータ推定に基づくIDDQ電流しきい値決定のオンラインテストに向けた高速化 (VLSI設計技術)
        新谷 道広; 佐藤 高史
        電子情報通信学会技術研究報告 : 信学技報, 2013年03月04日
      • [Memorial Lecture] an Adaptive Current-threshold Determination for IDDQ Testing Based on Bayesian Process Parameter Estimation
        M. Shintani; T. Sato
        IEICE Technical Report, 2013年03月
      • Evaluation of Dependent Node Selection of Histogram Propagation Based Statistical Timing Analysis
        S. Zhang; H. Tsutsui; H. Ochi; T. Sato
        IEICE general conference, 2013年03月
      • オンラインテストを指向したIDDQ電流しきい値決定手法の検討
        新谷 道弘; 佐藤 高史
        電子情報通信学会VLSI設計技術研究会, 2013年03月
      • 空間周波数領域インピーダンス行列を用いたマルチポートLSIモデルの検討
        森下拓海; 日高青路; 山長 功; 佐藤 高史
        電子情報通信学会EMCJ研究会, 2013年03月
      • 回路構造の異なるラッチの消費エネルギーの比較
        藤田 隆史; 筒井 弘; 越智 裕之; 佐藤 高史
        電子情報通信学会 総合大会(於 岐阜大学) 基礎・境界講演論文集, 2013年03月
      • ランダムウォーク線形回路解析のスレッド並列化における電圧源化排他制御の検討
        岡崎 剛; 筒井 弘; 越智 裕之; 佐藤 高史
        電子情報通信学会 総合大会(於 岐阜大学) 基礎・境界講演論文集, 2013年03月
      • チップ試作による最小動作電圧予測手法の評価
        川島 潤也; 筒井 弘; 越智 裕之; 佐藤 高史
        電子情報通信学会ICD研究会, 2012年12月
      • Accurate I/O Buffer Impedance Self-adjustment Using Vth and Temperature Sensors
        Z. Li; H. Tsutsui; H. Ochi; T. Sato
        Design gaia, SLDM society conference, 2012年11月
      • 回路の最小動作電圧改善とその予測精度向上の一検討
        川島 潤也; 越智 裕之; 筒井 弘; 佐藤 高史
        回路とシステムワークショップ, 2012年08月, 査読有り
      • クリロフ部分空間法を用いた電源回路網解析の GPU 実装による高速化
        森下 拓海; 筒井 弘; 越智 裕之; 佐藤 高史
        回路とシステムワークショップ, 2012年08月, 査読有り
      • 情報量規準を用いる RTN モデルパラメータ推定の自動化
        清水 裕史; 筒井 弘; 越智 裕之; 佐藤 高史
        DA シンポジウム, 2012年08月
      • 微細CMOSタイミング設計の新しいコーナー削減手法
        小谷 憲; 増田 弘生; 成木 保文; 奥村 隆昌; 城間 誠; 金本 俊幾; 古川 且洋; 山中 俊輝; 小笠原 泰弘; 佐藤 高史; 橋本 昌宜; 黒川 敦; 田中 正和
        DA シンポジウム, 2012年08月
      • 微細プロセス(22nm世代)における配線コーナー削減手法の検討
        城間 誠; 山中 俊輝; 小笠原 泰弘; 金本 俊幾; 成木 保文; 奥村 隆昌; 増田 弘生; 古川 且洋; 佐藤 高史; 橋本 昌宜; 黒川 敦; 田中 正和
        DA シンポジウム, 2012年08月
      • IDDQ 電流による大域プロセスばらつきの推定手法
        新谷 道広; 佐藤 高史
        信学技報 VLSI設計技術研究会, 2012年03月
      • 低ESRと高ESRコンデンサの組み合わせ使用による電源インピーダンスの低減手法
        山長 功; 佐藤 高史
        エレクトロニクス実装学会 全国大会, 2012年03月
      • プロセスばらつき推定に基づくIDDQテスト良品判定基準決定の試み
        新谷 道広; 佐藤 高史
        信学技報 ディペンダブルコンピューティング研究会, 2012年02月
      • ランダムテレグラフノイズモデル化のためのパラメータ推定法の検討
        粟野皓光; 清水裕史; 筒井 弘; 越智 裕之; 佐藤 高史
        デザインガイア 情報処理学会 SLDM研究会, 2011年11月
      • ゼロ分散推定重点的サンプリングを用いたランダムウォークによる過渡解析
        宮川 哲朗; 筒井 弘; 越智 裕之; 佐藤 高史
        デザインガイア 情報処理学会 SLDM研究会, 2011年11月
      • ブロック反復法を用いた電源回路網解析の高速化
        森下 巧海; 筒井 弘; 越智 裕之; 佐藤 高史
        デザインガイア 情報処理学会 SLDM研究会, 2011年11月
      • A Sensor-based Self-adjustment Approach for Controlling I/O Buffer Impedance
        Z. Li; H. Tsutsui; H. Ochi; T. Sato
        IEICE society conference, 2011年09月
      • EM法によるMOSデバイス界面状態数の自動推定
        清水 裕史; 筒井 弘; 越智 裕之; 佐藤 高史
        電子情報通信学会 ソサイエティ大会, 2011年09月
      • ヤコビ法を用いた電源回路網解析の GPU 実装
        森下 巧海; 筒井 弘; 越智 裕之; 佐藤 高史
        電子情報通信学会 ソサイエティ大会, 2011年09月
      • エネルギー最小化と動作保証を考慮したサブスレッショルド回路の設計指針の検討
        川島 潤也; 越智 裕之; 筒井 弘; 佐藤 高史
        回路とシステムワークショップ, 2011年08月, 査読有り
      • 複数不良領域を持つ回路歩留まり解析のための逐次重点的サンプリング法
        片山 健太郎; 筒井 弘; 越智 裕之; 佐藤 高史
        DA シンポジウム, 2011年08月
      • 配線資源の信頼性モデルを用いた粗粒度再構成可能アーキテクチャ向け選択的三重化の最適化手法
        今川 隆司; 湯浅 洋史; 筒井 弘; 越智 裕之; 佐藤 高史
        DA シンポジウム, 2011年08月
      • CMOSドライバ回路遅延のNBTI劣化ばらつき特性解析
        佐方 剛; 成木 保文; 奥村 隆昌; 金本 俊幾; 増田 弘生; 佐藤 高史; 橋本 昌宜; 古川 且洋; 田中 正和; 山中俊輝
        DA シンポジウム, 2011年08月
      • 混合正規分布による重点的サンプリングの高次元ばらつき解析への適用
        萩原 汐; 伊達 貴徳; 上薗 巧; 益 一哉; 佐藤 高史
        情報処理学会第148回システムLSI設計技術研究会, 2011年03月
      • リングオシレータによるしきい値簡易測定の温度依存性の検討
        上薗 巧; 越智 裕之; 佐藤 高史
        信学技報 VLSI設計技術研究会, 2010年09月
      • 誤り伝播に着目した粗粒度再構成可能アーキテクチャ向け部分的三重化手法
        湯浅 洋史; 今川 隆司; 廣本 正之; 越智 裕之; 佐藤 高史
        信学技報, 2010年05月
      • 超球の一部を用いた歩留まり推定における不良領域の効率的探索手法
        伊達 貴徳; 萩原 汐; 益 一哉; 佐藤 高史
        信学技報 VLSI設計技術研究会, 2010年03月
      • 重点的サンプリングにおける平均値移動量の決定手法とそのSRAM歩留り解析への適用
        伊達 貴徳; 萩原 汐; 益 一哉; 佐藤 高史
        情報処理学会第142回システムLSI設計技術研究会, 2009年12月
      • セラミックコンデンサのモデル化とオンパッケージでの電源ノイズ対策
        佐藤 高史
        シリコンRF研究会, 2009年11月
      • パス遅延測定によるチップ特性の推定手法
        高橋 知之; 上薗 巧; 越智 裕之; 益 一哉; 佐藤 高史
        DA シンポジウム, 2009年08月
      • 柔軟な信頼性を実現する再構成可能デバイスのための配置配線ツール
        今川 隆司; 廣本 正之; 高 永勲; Dawood Alnajjar; 密山 幸男; 越智 裕之; 佐藤 高史
        DA シンポジウム, 2009年08月
      • RTNを考慮した回路特性ばらつき解析方法の検討
        増田 弘生; 佐方 剛; 佐藤 高史; 橋本 昌宜; 古川 且洋; 田中 正和; 山中 俊輝; 金本俊幾
        DA シンポジウム, 2009年08月
      • 重点的サンプリングを用いたランダムウォークによる線形回路解析の高速化
        宮川 哲朗; 山長 功; 越智 裕之; 佐藤 高史
        DA シンポジウム, 2009年08月
      • SRAM回路の構造的対称性を考慮した2段階学習型重点的サンプリング
        伊達 貴徳; 萩原 汐; 上薗 巧; 佐藤 高史
        信学技報 VLSI設計技術研究会, 2009年05月
      • 電源ノイズ考慮統計的タイミング解析を用いたデカップリング容量割当手法
        榎並 孝司; 橋本 昌宜; 佐藤 高史
        信学技報 VLSI設計技術研究会, 2009年03月
      • 適応型テストにおけるクリティカルパスのクラスタリング手法
        上薗 巧; 高橋 知之; 植山 寛之; 新谷 道広; 佐藤 高史; 益 一哉
        電子情報通信学会 総合大会, 2009年03月
      • 統計的タイミング情報に基づく適応型テスト
        新谷 道広; 高橋 知之; 植山 寛之; 上薗 巧; 佐藤 高史; 畠山 一実; 相京 隆; 益 一哉
        電子情報通信学会 総合大会, 2009年03月
      • 状態依存性解析のための電源間容量のテーブルルックアップ計算
        山長 功; 高橋 亮; 萩原 汐; 佐藤 高史; 益 一哉
        電子情報通信学会 総合大会, 2009年03月
      • 状態依存性を考慮した論理回路の電源間容量モデルの検討
        萩原 汐; 高橋 亮; 山長 功; 佐藤 高史; 益 一哉
        電子情報通信学会 総合大会, 2009年03月
      • STIストレスによるMOSFET特性変動のコンパクトモデル
        山田 健太; 庄 俊之; 益 一哉; 中山 範明; 佐藤 高史; 天川 修平; 國清 辰也; 吉村尚郎; 伊藤 優; 熊代成孝
        第56回応用物理学関係連合講演会, 2009年03月
      • CMOS論理回路における電源網容量の入力状態依存性についての検討
        高橋 亮; 山長 功; 佐藤 高史; 益 一哉
        電子情報通信学会 ソサイエティ大会, 2008年09月
      • 電源電圧変動やプロセスばらつきに対する標準セルの遅延感度検討
        高橋 知之; 植山 寛之; 萩原 汐; 佐藤 高史; 益 一哉
        電子情報通信学会 ソサイエティ大会, 2008年09月
      • 抵抗測定法によるトランジスタアレイ回路の測定時間短縮化
        植山 寛之; 佐藤 高史; 中山 範明; 益 一哉
        電子情報通信学会 ソサイエティ大会, 2008年09月
      • 回路特性ばらつき解析に対する重点的サンプリングの適用検討
        伊達 貴徳; 萩原 汐; 佐藤 高史; 中山 範明; 益 一哉
        電子情報通信学会 ソサイエティ大会, 2008年09月
      • LSI等価回路モデル評価に向けたオンチップ電源電圧の測定手法
        佐藤 高史; 山長 功
        電子情報通信学会 ソサイエティ大会, 2008年09月
      • パス遅延時間ばらつきを考慮した電源遮断回路の設計指針
        萩原 汐; 佐藤 高史; 益 一哉
        DA シンポジウム, 2008年08月
      • プロセスばらつきの積極的活用による非繰返し電圧波形の測定
        上薗 巧; 佐藤 高史; 益一哉
        第21回 回路とシステム軽井沢ワークショップ, 2008年04月, 査読有り
      • 電源遮断回路におけるパス遅延時間ばらつきの計算
        萩原 汐; 佐藤 高史; 益一哉
        第21回 回路とシステム軽井沢ワークショップ, 2008年04月, 査読有り
      • 基板実装状態を考慮可能な表面実装型受動部品の2ポートモデリング手法
        山長 功; 佐藤 高史; 益 一哉
        第21回 回路とシステム軽井沢ワークショップ, 2008年04月, 査読有り
      • チップ内システマティックばらつきと回路スキュー特性相関
        増田 弘生; 大川 眞一; 黄田 剛; 奥村 隆昌; 黒川 敦; 増田 弘生; 金本 俊幾; 佐藤 高史; 橋本 昌宜; 高藤 浩資; 中島 英斉; 小野 信任
        第21回 回路とシステム軽井沢ワークショップ, 2008年04月, 査読有り
      • 統計的 STA でのスルー依存性を考慮した遅延ばらつき計算手法の提案
        奥村 隆昌; 黒川 敦; 増田 弘生; 金本 俊幾; 佐藤 高史; 橋本 昌宜; 高藤 浩資; 中島 英斉; 小野 信任
        第21回 回路とシステム軽井沢ワークショップ, 2008年04月, 査読有り
      • リーク電流測定用トランジスタアレイ回路の測定
        植山 寛之; 佐藤 高史; 中山 範明; 益 一哉
        電子情報通信学会 総合大会, 2008年03月
      • 測定系の侵襲性を定量化可能なオンチップ電源電圧変動の直接測定手法
        山長 功; 佐藤; 高史; 益 一哉
        電子情報通信学会 総合大会, 2008年03月
      • 電源遮断回路におけるインバータ列遅延時間ばらつきの計算
        萩原 汐; 佐藤 高史; 益 一哉
        電子情報通信学会 総合大会, 2008年03月
      • [チュートリアル講演] 集積回路における電源品質の解析技術
        佐藤 高史
        信学技報, 2008年01月
      • プリント配線基板電源網のインピーダンス低減手法の検討
        山長 功; 佐藤 高史; 益 一哉
        システムLSIワークショップ, 2007年11月
      • Full-wave 電磁界シミュレータによるプリント回路基板の電源網モデル化
        山長 功; 佐藤 高史; 益 一哉
        シリコンRF研究会, 2007年11月
      • パワーゲーティング技術における製造ばらつきの回路特性への影響
        萩原 汐; 佐藤 高史; 益 一哉
        情報処理学会第131回システムLSI設計技術研究会, 2007年10月
      • An Implementation of Voltage Drop Sensor Circuit for Power Supply Network Monitoring
        T. Sato; T. Uezono; K. Masu
        Workshop on SoC Design Methodologies, 2007年09月
      • ビアのインダクタンスに着目したプリント配線基板電源網のインピーダンス低減手法の検討
        山長 功; 佐藤 高史; 益 一哉
        電子情報通信学会 ソサイエティ大会, 2007年09月
      • 電源電圧降下の時間的・空間的広がり可視化手法
        上薗 巧; 佐藤 高史; 益 一哉
        電子情報通信学会 ソサイエティ大会, 2007年09月
      • 大域ばらつきの近似次数が回路遅延ばらつきに与える影響
        植山 寛之; 佐藤 高史; 中山 範明; 益 一哉
        電子情報通信学会 ソサイエティ大会, 2007年09月
      • ノンパラメトリック統計的タイミング解析 (SSTA) の実現手法の検討
        今井 正紀; 佐藤 高史; 中山 範明; 益 一哉
        DA シンポジウム, 2007年08月
      • 相関係数にもとづく回帰分析の電源改善への適用
        萩原 汐; 上薗 巧; 佐藤 高史; 益 一哉
        第20回 回路とシステム軽井沢ワークショップ, 2007年04月, 査読有り
      • 45-65nm ノードにおける遅延ばらつき特性の環境温度依存性
        中林 太美世; 黒川 敦; 佐藤 高史; 橋本 昌宜; 増田 弘生
        第20回 回路とシステム軽井沢ワークショップ, 2007年04月, 査読有り
      • 統計的パス遅延解析のための Monte Carlo STA 実行数評価の一手法
        今井 正紀; 佐藤 高史; 中山 範明; 益 一哉
        第20回 回路とシステム軽井沢ワークショップ, 2007年04月, 査読有り
      • 統計的 STA でのスルー依存性を考慮した遅延ばらつき計算手法の提案
        高藤 浩資; 小林 宏行; 小野 信任; 増田 弘生; 中島 英斉; 奥村 隆昌; 橋本 昌宜; 佐藤 高史
        第20回 回路とシステム軽井沢ワークショップ, 2007年04月, 査読有り
      • 電源解析への相関係数利用の検討
        萩原 汐; 上薗 巧; 佐藤 高史; 益 一哉
        電子情報通信学会 総合大会, 2007年03月
      • 非理想的な回路分割とセル占有率を考慮した配線長分布モデル
        天川 修平; 上薗 巧; 佐藤 高史; 益 一哉
        電子情報通信学会 総合大会, 2007年03月
      • 電源ノイズによる遅延変動の測定とフルチップシミュレーションによる遅延変動の再現
        小笠原 泰弘; 榎並 孝司; 橋本 昌宜; 佐藤 高史; 尾上 孝雄
        信学技報, 2007年01月
      • MOSFETのリーク電流ばらつき測定のための回路検討
        藤久 雄己; 岡田 健一; 佐藤 高史; 中山 範明; 益 一哉
        システムLSIワークショップ, 2006年11月
      • 高精度デバイスばらつき測定のための電源構造の設計
        萩原 汐; 佐藤 高史; 益 一哉
        電子情報通信学会 ソサイエティ大会, 2006年09月
      • リングオシレータを用いる瞬時電圧降下測定手法の精度改善
        上薗 巧; 佐藤 高史; 益 一哉
        電子情報通信学会 ソサイエティ大会, 2006年09月
      • 伝送線路を用いたオンチップ高速伝送回路の研究
        清田 淳紀; 伊藤 浩之; 岡田 健一; 佐藤 高史; 益 一哉
        電子情報通信学会 ソサイエティ大会, 2006年09月
      • Si CMOSチップにおける右手・左手系伝送線路の検討
        金 章九; 山内 拓弥; 岡田 健一; 佐藤 高史; 益 一哉
        電子情報通信学会 ソサイエティ大会, 2006年09月
      • MOSFETのリーク電流ばらつき測定のための回路検討
        藤久 雄己; 上園 巧; 萩原 汐; 岡田 健一; 佐藤 高史; 中山 範明; 益 一哉
        電子情報通信学会 ソサイエティ大会, 2006年09月
      • 統計的 STA の精度検証手法
        小林 宏行; 小野 信任; 佐藤 高史; 岩井 二郎; 橋本 昌宜
        DA シンポジウム, 2006年07月
      • 統計的 SSTA の精度検証方法
        小林 宏行; 小野 信任; 佐藤 高史; 岩井 二郎; 橋本 昌宜
        第19回 回路とシステム軽井沢ワークショップ, 2006年04月, 査読有り
      • オンチップ熱バラツキを考慮したモジュール配置手法
        岡田 典英; 児玉 親亮; 佐藤 高史; 藤吉 邦洋
        DA シンポジウム, 2005年08月
      • ジッタ制約を考慮した IO 同時動作設計ルールの提案
        蜂屋 孝太郎; 小林 宏行; 奥村 隆昌; 佐藤 高史; 岡 宏規
        第18回 回路とシステム軽井沢ワークショップ, 2005年04月, 査読有り
      • フロアプランにおけるオンチップ熱ばらつきの解析と対策
        佐藤 高史; 市宮 淳次; 小野 信任; 蜂屋 孝太郎; 橋本 昌宜
        DA シンポジウム, 2004年07月
      • オンチップ・インダクタンスとは? --モデリングと抽出技術--
        黒川 敦; 佐藤 高史; 金本 俊幾
        DA シンポジウム, 2003年07月
      • VLSI 設計・信号品質解析におけるインダクタンスの影響とその重要性
        佐藤 高史; 金本 俊幾; 黒川 敦
        DA シンポジウム, 2003年07月
      • 電源・グランド間容量が同時切替えノイズに与える影響の解析
        坂田 和之; 佐藤 高史; 横溝 剛一
        第17回 回路とシステム(軽井沢)ワークショップ, 2003年04月
      • インダクタンスに起因する配線遅延変動の統計的予測手法
        佐藤 高史; 金本 俊幾; 黒川 敦; 川上 善之; 岡 宏規; 北浦 智靖; 池内 敦彦; 小林 宏之; 橋本 昌宜
        電子情報通信学会ソサイエティ大会 チュートリアル講演, 2002年09月
      • 0.1-um級 LSI の遅延計算における寄生インダクタンスを考慮すべき配線の統計的選別手法
        金本 俊幾; 佐藤 高史; 黒川 敦; 川上 善之; 岡 宏規; 北浦 智靖; 池内 敦彦; 小林 宏之; 橋本 昌宜
        DA シンポジウム, 2002年07月
      • 動的電源ノイズ解析のための電源グリッドモデル抽出
        蜂屋 孝太郎; 黒川 敦; 佐藤 高史; 南 文裕; 増田 弘生
        DAシンポジウム, 2002年07月
      • 斜め配線を含む VLSIの高速オンチップ・インダクタンス解析
        黒川 敦; 蜂屋 孝太郎; 佐藤 高史; 徳升 一也; 増田 弘生
        第15回 回路とシステム(軽井沢)ワークショップ, 2002年04月, 査読有り
      • インダクタンスが配線遅延に及ぼす影響の定量的評価方法
        佐藤 高史; 金本 俊幾; 黒川 敦; 川上 善之; 岡 宏規; 北浦 智靖; 池内 敦彦; 小林 宏之; 橋本 昌宜
        第15回 回路とシステム(軽井沢)ワークショップ, 2002年04月, 査読有り
      • 有限要素法と回路解析の連成解析による同時切替えノイズの評価
        馬淵 雄一; 諏訪 元大; 中村 篤; 福本 英士; 白井 優之; 林 亨; 横溝 剛一; 佐藤 高史; 大竹 成典; 坂田 和之
        第14回 エレクトロニクス実装学会全国大会, 2002年03月
      • クロストークノイズによる配線遅延の変動とノイズ波形のモデル化に関する検討
        Takashi Sato; Yu Cao; Dennis Sylvester; Chenming Hu
        信学技報, 2000年09月
      • プリント回路基板の高周波電流解析用 LSI モデリング手法の検討
        大竹 成典; 馬淵 雄一; 林 亨; 佐藤 高史; 横溝 剛一; 白川 真司; 福本 英士; 中村 篤
        信学技報, 2000年07月
      • ビット間スキュー制御を有するシンクロナス DRAM の 5 GByte/s データ伝送技術
        佐藤 高史; 西尾 洋二; 管野 利夫; 中込 儀延
        信学技報, 1998年06月
      • A practical row interchanging algorithm for hierarchically constructed circuit matrices using modified modal analysis
        佐藤 高史; 見山 見可子; 横溝 剛一; 仁保 宏二郎
        信学技報, 1997年12月
      • メモリ回路を用いた回路分割型回路シミュレーションの評価
        見山 美可子; 佐藤 高史; 北城 三郎; 仁保 宏二郎
        信学技報, 1996年04月
      • 機能関数を用いたアナログ/デジタル混在回路機能検証高速化手法の一検討
        佐藤 高史; 信澤 理子; 見山 美可子; 横溝 剛一
        電子情報通信学会 総合大会, 1994年03月

      講演・口頭発表等

      • ランダムテレグラフノイズを用いたチップ識別手法の一検討
        吉永 幹; 粟野 皓光; 廣本 正之; 佐藤 高史
        電子情報通信学会 ソサイエティ大会, 2014年09月
      • フリップフロップの最小動作電圧計測のためのFPGAを用いた自動設計環境の構築
        岡崎 剛; 川島 潤也; 廣本 正之; 佐藤 高史
        第40回パルテノン研究会, 2014年09月
      • 粗粒度再構成可能アーキテクチャ向けの省メモリな耐ソフトエラー時間多重化手法
        今川 隆司; 廣本 正之; 越智 裕之; 佐藤 高史
        第40回パルテノン研究会, 2014年09月
      • An Experimental Study on Interdigital Capacitance Sensor for Detecting Heart Rate
        X. Cao; M. Hiromoto; T. Sato
        Workshop on Circuits and Systems, 2014年08月
      • 高次元回路歩留まり解析高速化のための最急降下法を用いた不良領域探索
        木村 和紀; 廣本 正之; 佐藤 高史
        回路とシステムワークショップ, 2014年08月
      • A Low Cost Capacitor Approach for Suppressing Resonance in Power Distribution Networks
        K. Yamanaga; H. Yamamoto; T. Sato
        International Symposium on Electromagnetic Compatibility, Tokyo (EMC Tokyo), 2014年05月
      • ランダムウォーク電源網解析の高速化に向けた節点解析順序の検討
        岡崎 剛; 廣本 正之; 佐藤 高史
        情報処理学会SLDM研究会, 2014年05月
      • 低電圧起動回路を用いた省電力チップ間非接触通信回路
        佐川 善彦; 廣本 正之; 佐藤 高史; 越智 裕之
        情報処理学会SLDM研究会, 2014年05月
      • Experimental Validation of Minimum Operating Voltage Estimation for Low Supply Voltage Circuits
        T. Sato; J. Kawashima; H. Tsutsui; H. Ochi
        International Symposium on Quality Electronic Design (ISQED), 2014年03月
      • 最大動作周波数テストの枠組みを用いたデバイスパラメータ推定手法
        新谷 道弘; 佐藤 高史
        電子情報通信学会ディペンダブルコンピューティング研究会, 2014年02月
      • 3端子コンデンサにおける周波数特性の有理関数近似と等価回路表現
        高垣 勇登; 三舩 洋嗣; 日高青路; 廣本 正之; 佐藤 高史
        電子情報通信学会EMCJ研究会, 2014年01月
      • Time Dependent Degradation (Invited)
        T. Sato; M. Hashimoto
        The Journal of Reliability Engineering Association of Japan, 2013年12月, 招待有り
      • Statistical Observation of NBTI and PBTI Degradations
        H. Awano; M. Hiromoto; T. Sato
        Workshop on variability modeling and characterization (VMC), 2013年11月
      • A Device Array for Flexible BTI Characterization (Invited Talk)
        T. Sato
        Workshop on variability modeling and characterization (VMC), 2013年11月
      • Place-and-route Algorithms for a Reliability-oriented Coarse-grained Reconfigurable Architecture Using Time Redundancy
        T. Imagawa; M. Hiromoto; H. Tsutsui; H. Ochi; T. Sato
        The 18th workshop on synthesis and system integration of mixed information technologies (SASIMI), 2013年10月
      • Statistical Simulation Methods for Analyzing Performance of Low Supply Voltage Circuits (Invited)
        T. Sato
        The IEEE 10th International Conference on ASIC (ASICON), 2013年09月, 招待有り
      • TV最適化を用いた可変レート圧縮センシング
        羅 丹; 藤田 隆史; 廣本 正之; 佐藤 高史
        電子情報通信学会 ソサイエティ大会(於 福岡工業大学), 2013年09月
      • トランジスタアレイを用いたBTI劣化の統計的観測
        粟野 皓光; 佐藤 高史
        DA シンポジウム, 2013年08月
      • 3996トランジスタにおけるNBTI劣化の統計的ばらつき
        粟野 皓光; 廣本 正之; 佐藤 高史
        DA シンポジウム, 2013年08月
      • 低電源電圧におけるフリップフロップの故障モードの解析
        藤田 隆史; 川島 潤也; 廣本 正之; 筒井 弘; 越智 裕之; 佐藤 高史
        電子情報通信学会ICD研究会, 2013年07月
      • SRAM 回路解析における最小ノルム不良サンプルと歩留りの関係
        木村 和紀; 筒井 弘; 越智 裕之; 佐藤 高史
        回路とシステムワークショップ, 2013年07月
      • 画像の圧縮センシングにおける圧縮率の適応的変更手法
        藤田 隆史; 筒井 弘; 越智 裕之; 佐藤 高史
        回路とシステムワークショップ, 2013年07月
      • 準ゼロ分散推定と誤差平滑化処理を併用するランダムウォーク電源網解析
        岡崎 剛; 筒井 弘; 越智 裕之; 佐藤 高史
        回路とシステムワークショップ, 2013年07月
      • Histogram Propagation Based Statistical Timing Analysis Using Dependent Node Selection
        S. Zhang; H. Tsutsui; H. Ochi; T. Sato
        The 28th International Technical Conference on Circuits/Systems, Computers and Communications (ITC-CSCC), 2013年06月
      • Architecture for Sealed Wafer-scale Mask ROM for Long-term Digital Data Preservation
        S. Matsuda; T. Imagawa; H. Tsutsui; T. Sato; Y. Nakamura; H. Ochi
        The 28th International Technical Conference on Circuits/Systems, Computers and Communications (ITC-CSCC), 2013年06月
      • Fast and Memory-efficient GPU Implementations of Krylov Subspace Methods for Efficient Power Grid Analysis
        T. Morishita; H. Tsutsui; H. Ochi; T. Sato
        ACM Great Lakes Symposium on VLSI (GLSVLSI), 2013年05月
      • Logarithmic Modeling of BTI Under Dynamic Circuit Operations: Static, Dynamic and Long-term Prediction
        J. B. Velamala; K. B. Sutaria; H. Shimizu; H. Awano; T. Sato; G. Wirth; Y. Cao
        IEEE International Reliability Physics Symposium (IRPS), 2013年04月
      • Multi-trap RTN Parameter Extraction Based on Bayesian Inference
        H. Awano; H. Tsutsui; H. Ochi; T. Sato
        International Symposium on Quality Electronic Design (ISQED), 2013年03月
      • High-speed DFG-level SEU Vulnerability Analysis for Applying Selective TMR to Resource-constrained CGRA
        T. Imagawa; H. Tsutsui; H. Ochi; T. Sato
        International Symposium on Quality Electronic Design (ISQED), 2013年03月
      • [Memorial Lecture] an Adaptive Current-threshold Determination for IDDQ Testing Based on Bayesian Process Parameter Estimation
        M. Shintani; T. Sato
        IEICE Technical Report, 2013年03月
      • Evaluation of Dependent Node Selection of Histogram Propagation Based Statistical Timing Analysis
        S. Zhang; H. Tsutsui; H. Ochi; T. Sato
        IEICE general conference, 2013年03月
      • Hot-swapping Architecture With Back-biased Testing for Mitigation of Permanent Faults in Functional Unit Array
        Z. E. Rakossy; M. Hiromoto; H. Tsutsui; T. Sato; Y. Nakamura; H. Ochi
        Design, Automation and Test in Europe (DATE), 2013年03月
      • A Cost-effective Selective TMR for Heterogeneous Coarse-grained Reconfigurable Architectures Based on DFG-level Vulnerability Analysis
        T. Imagawa; H. Tsutsui; H. Ochi; T. Sato
        Design, Automation and Test in Europe (DATE), 2013年03月
      • オンラインテストを指向したIDDQ電流しきい値決定手法の検討
        新谷 道弘; 佐藤 高史
        電子情報通信学会VLSI設計技術研究会, 2013年03月
      • 空間周波数領域インピーダンス行列を用いたマルチポートLSIモデルの検討
        森下拓海; 日高青路; 山長 功; 佐藤 高史
        電子情報通信学会EMCJ研究会, 2013年03月
      • 回路構造の異なるラッチの消費エネルギーの比較
        藤田 隆史; 筒井 弘; 越智 裕之; 佐藤 高史
        電子情報通信学会 総合大会(於 岐阜大学) 基礎・境界講演論文集, 2013年03月
      • ランダムウォーク線形回路解析のスレッド並列化における電圧源化排他制御の検討
        岡崎 剛; 筒井 弘; 越智 裕之; 佐藤 高史
        電子情報通信学会 総合大会(於 岐阜大学) 基礎・境界講演論文集, 2013年03月
      • Realization of Frequency-domain Circuit Analysis Through Random Walk
        T. Miyakawa; H. Tsutsui; H. Ochi; T. Sato
        ACM/IEEE Asia and South Pacific Design Automation Conference (ASPDAC), 2013年01月
      • An Adaptive Current-threshold Determination for IDDQ Testing Based on Bayesian Process Parameter Estimation
        M. Shintani; T. Sato
        ACM/IEEE Asia and South Pacific Design Automation Conference (ASPDAC), 2013年01月
      • チップ試作による最小動作電圧予測手法の評価
        川島 潤也; 筒井 弘; 越智 裕之; 佐藤 高史
        電子情報通信学会ICD研究会, 2012年12月
      • Adaptive Current-threshold Determination for Accurate IDDQ Testing
        M. Shintani; T. Sato
        Workshop on variability modeling and characterization (VMC), 2012年11月
      • Accurate I/O Buffer Impedance Self-adjustment Using Vth and Temperature Sensors
        Z. Li; H. Tsutsui; H. Ochi; T. Sato
        Design gaia, SLDM society conference, 2012年11月
      • The Odd Couple: Antiresonance Control by Two Capacitors of Unequal Series Resistances
        K. Yamanaga; T. Sato
        The 21st conference on electrical performance of electronic packaging and systems (EPEPS), 2012年10月
      • Statistical Aging Under Dynamic Voltage Scaling: A Logarithmic Model Approach
        J. B. Velamala; K. B. Sutaria; H. Shimizu; H. Awano; T. Sato; Y. Cao
        IEEE Custom Integrated Circuits Conference (CICC), 2012年09月
      • 回路の最小動作電圧改善とその予測精度向上の一検討
        川島 潤也; 越智 裕之; 筒井 弘; 佐藤 高史
        回路とシステムワークショップ, 2012年08月
      • クリロフ部分空間法を用いた電源回路網解析の GPU 実装による高速化
        森下 拓海; 筒井 弘; 越智 裕之; 佐藤 高史
        回路とシステムワークショップ, 2012年08月
      • 情報量規準を用いる RTN モデルパラメータ推定の自動化
        清水 裕史; 筒井 弘; 越智 裕之; 佐藤 高史
        DA シンポジウム, 2012年08月
      • 微細CMOSタイミング設計の新しいコーナー削減手法
        小谷 憲; 増田 弘生; 成木 保文; 奥村 隆昌; 城間 誠; 金本 俊幾; 古川 且洋; 山中 俊輝; 小笠原 泰弘; 佐藤 高史; 橋本 昌宜; 黒川 敦; 田中 正和
        DA シンポジウム, 2012年08月
      • 微細プロセス(22nm世代)における配線コーナー削減手法の検討
        城間 誠; 山中 俊輝; 小笠原 泰弘; 金本 俊幾; 成木 保文; 奥村 隆昌; 増田 弘生; 古川 且洋; 佐藤 高史; 橋本 昌宜; 黒川 敦; 田中 正和
        DA シンポジウム, 2012年08月
      • Physics Matters: Statistical Aging Prediction Under Trapping/detrapping
        J. B. Velamala; K. B. Sutaria; T. Sato; Y. Cao
        ACM/IEEE Design Automation Conference (DAC), 2012年06月
      • Aging Statistics Based on Trapping/detrapping: Silicon Evidence, Modeling and Long-term Prediction
        J. B. Velamala; K. B. Sutaria; T. Sato; Y. Cao
        IEEE International Reliability Physics Symposium (IRPS), 2012年04月
      • A Bayesian-based Process Parameter Estimation Using IDDQ Current Signature
        M. Shintani; T. Sato
        IEEE VLSI Test Symposium (VTS), 2012年04月
      • Hardware Architecture for Accelerating Monte Carlo Based SSTA Using Generalized STA Processing Element
        H. Yuasa; H. Tsutsui; H. Ochi; T. Sato
        The 17th workshop on synthesis and system integration of mixed information technologies (SASIMI), 2012年03月
      • GPU Acceleration of Cycle-based Soft-error Simulation for Reconfigurable Array Architectures
        T. Imagawa; T. Oue; H. Tsutsui; H. Ochi; T. Sato
        The 17th workshop on synthesis and system integration of mixed information technologies (SASIMI), 2012年03月
      • Statistical Observations of NBTI-induced Threshold Voltage Shifts on Small Channel-area Devices
        T. Sato; H. Awano; H. Shimizu; H. Tsutsui; H. Ochi
        International Symposium on Quality Electronic Design (ISQED), 2012年03月
      • IDDQ 電流による大域プロセスばらつきの推定手法
        新谷 道広; 佐藤 高史
        信学技報 VLSI設計技術研究会, 2012年03月
      • 低ESRと高ESRコンデンサの組み合わせ使用による電源インピーダンスの低減手法
        山長 功; 佐藤 高史
        エレクトロニクス実装学会 全国大会, 2012年03月
      • プロセスばらつき推定に基づくIDDQテスト良品判定基準決定の試み
        新谷 道広; 佐藤 高史
        信学技報 ディペンダブルコンピューティング研究会, 2012年02月
      • Acceleration Scheme for Monte Carlo Based SSTA Using Generalized STA Processing Element
        H. Yuasa; H. Tsutsui; H. Ochi; T. Sato
        ACM International Workshop on Timing Issues in the Specification and Synthesis of Digital Systems (TAU), 2012年01月
      • Getting the Most Out of IDDQ Testing
        M. Shintani; T. Sato
        Workshop on variability modeling and characterization (VMC), 2011年11月
      • Statistical Aging Prediction and Characterization Using Trapping/detrapping Based NBTI Models
        J. B. Velamala; T. Sato; Y. Cao
        Workshop on variability modeling and characterization (VMC), 2011年11月
      • ランダムテレグラフノイズモデル化のためのパラメータ推定法の検討
        粟野皓光; 清水裕史; 筒井 弘; 越智 裕之; 佐藤 高史
        デザインガイア 情報処理学会 SLDM研究会, 2011年11月
      • ゼロ分散推定重点的サンプリングを用いたランダムウォークによる過渡解析
        宮川 哲朗; 筒井 弘; 越智 裕之; 佐藤 高史
        デザインガイア 情報処理学会 SLDM研究会, 2011年11月
      • ブロック反復法を用いた電源回路網解析の高速化
        森下 巧海; 筒井 弘; 越智 裕之; 佐藤 高史
        デザインガイア 情報処理学会 SLDM研究会, 2011年11月
      • A Device Array for Efficient Bias-temperature Instability Measurements
        T. Sato; T. Kozaki; T. Uezono; H. Tsutsui; H. Ochi
        Solid-State Device Research Conference (ESSDERC), 2011年09月
      • A Design Strategy for Sub-threshold Circuits Considering Energy-minimization and Yield-maximization
        J. Kawashima; H. Tsutsui; H. Ochi; T. Sato
        IEEE International SOC Conference (SOCC), 2011年09月
      • A Sensor-based Self-adjustment Approach for Controlling I/O Buffer Impedance
        Z. Li; H. Tsutsui; H. Ochi; T. Sato
        IEICE society conference, 2011年09月
      • EM法によるMOSデバイス界面状態数の自動推定
        清水 裕史; 筒井 弘; 越智 裕之; 佐藤 高史
        電子情報通信学会 ソサイエティ大会, 2011年09月
      • ヤコビ法を用いた電源回路網解析の GPU 実装
        森下 巧海; 筒井 弘; 越智 裕之; 佐藤 高史
        電子情報通信学会 ソサイエティ大会, 2011年09月
      • エネルギー最小化と動作保証を考慮したサブスレッショルド回路の設計指針の検討
        川島 潤也; 越智 裕之; 筒井 弘; 佐藤 高史
        回路とシステムワークショップ, 2011年08月
      • 複数不良領域を持つ回路歩留まり解析のための逐次重点的サンプリング法
        片山 健太郎; 筒井 弘; 越智 裕之; 佐藤 高史
        DA シンポジウム, 2011年08月
      • 配線資源の信頼性モデルを用いた粗粒度再構成可能アーキテクチャ向け選択的三重化の最適化手法
        今川 隆司; 湯浅 洋史; 筒井 弘; 越智 裕之; 佐藤 高史
        DA シンポジウム, 2011年08月
      • CMOSドライバ回路遅延のNBTI劣化ばらつき特性解析
        佐方 剛; 成木 保文; 奥村 隆昌; 金本 俊幾; 増田 弘生; 佐藤 高史; 橋本 昌宜; 古川 且洋; 田中 正和; 山中俊輝
        DA シンポジウム, 2011年08月
      • A Stress-parallelized Device Array for Efficient Bias-temperature Stability Measurement
        T. Sato; T. Kozaki; T. Uezono; H. Tsutsui; H. Ochi
        The 5th IEEE International Workshop on Design for Manufacturability & Yield (DFM&Y), 2011年06月
      • Acceleration of Random-walk-based Linear Circuit Analysis Using Importance Sampling
        T. Miyakawa; K. Yamanaga; H. Tsutsui; H. Ochi; T. Sato
        ACM Great Lakes Symposium on VLSI (GLSVLSI), 2011年05月
      • A Fully Pipelined Implementation of Monte Carlo Based SSTA on FPGAs
        H. Yuasa; H. Tsutsui; H. Ochi; T. Sato
        International Symposium on Quality Electronic Design (ISQED), 2011年03月
      • 混合正規分布による重点的サンプリングの高次元ばらつき解析への適用
        萩原 汐; 伊達 貴徳; 上薗 巧; 益 一哉; 佐藤 高史
        情報処理学会第148回システムLSI設計技術研究会, 2011年03月
      • Sequential Importance Sampling for Low-probability and High-dimensional SRAM Yield Analysis
        K. Katayama; S. Hagiwara; H. Tsutsui; H. Ochi; T. Sato
        IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 2010年11月
      • A Transistor-array for Parallel BTI-effects Measurements
        T. Uezono; T. Kozaki; H. Ochi; T. Sato
        Workshop on variability modeling and characterization (VMC), 2010年11月
      • リングオシレータによるしきい値簡易測定の温度依存性の検討
        上薗 巧; 越智 裕之; 佐藤 高史
        信学技報, 2010年09月
      • A Tool Chain for Generating SEU-vulnerability Map for Coarse-grained Reconfigurable Architecture
        T. Imagawa; M. Hiromoto; H. Ochi; T. Sato
        The 25th International Technical Conference on Circuits/Systems, Computers and Communications (ITC-CSCC), 2010年07月
      • A Routing Architecture Exploration for Coarse-grained Reconfigurable Architecture With Automated SEU-tolerance Evaluation
        T. Imagawa; M. Hiromoto; H. Ochi; T. Sato
        IEEE International SOC Conference (SOCC), 2010年07月
      • Application of Generalized Scattering Matrix for Prediction of Power Supply Noise
        K. Yamanaga; K. Masu; T. Sato
        ACM/IEEE International Workshop on System Level Interconnect Prediction (SLIP), 2010年06月
      • Decomposition of Drain-current Variation Into Gain-factor and Threshold Voltage Variations
        T. Sato; T. Uezono; N. Nakayama; K. Masu
        IEEE International Symposium on Circuits and Systems (ISCAS), 2010年05月
      • Small Delay and Area Overhead Process Parameter Estimation Through Path-delay Inequalities
        T. Uezono; T. Takahashi; M. Shintani; K. Hatayama; K. Masu; H. Ochi; T. Sato
        IEEE International Symposium on Circuits and Systems (ISCAS), 2010年05月
      • 誤り伝播に着目した粗粒度再構成可能アーキテクチャ向け部分的三重化手法
        湯浅 洋史; 今川 隆司; 廣本 正之; 越智 裕之; 佐藤 高史
        信学技報, 2010年05月
      • Path Clustering for Adaptive Test
        T. Uezono; T. Takahashi; M. Shintani; K. Hatayama; K. Masu; H. Ochi; T. Sato
        IEEE VLSI Test Symposium (VTS), 2010年04月
      • Sequential Importance Sampling for Low-probability and High-dimensional SRAM Yield Analysis
        K. Katayama; T. Date; H. Ochi; T. Sato
        ACM International Workshop on Timing Issues in the Specification and Synthesis of Digital Systems (TAU), 2010年03月
      • Robust Importance Sampling for Efficient SRAM Yield Analysis
        T. Date; S. Hagiwara; K. Masu; T. Sato
        International Symposium on Quality Electronic Design (ISQED), 2010年03月
      • Linear Time Calculation of State-dependent Power Distribution Network Capacitance
        S. Hagiwara; K. Yamanaga; R. Takahashi; K. Masu; H. Ochi; T. Sato
        International Symposium on Quality Electronic Design (ISQED), 2010年03月
      • 超球の一部を用いた歩留まり推定における不良領域の効率的探索手法
        伊達 貴徳; 萩原 汐; 益 一哉; 佐藤 高史
        信学技報, 2010年03月
      • 重点的サンプリングにおける平均値移動量の決定手法とそのSRAM歩留り解析への適用
        伊達 貴徳; 萩原 汐; 益 一哉; 佐藤 高史
        情報処理学会第142回システムLSI設計技術研究会, 2009年12月
      • On-die Parameter Extraction from Path-delay Measurements
        T. Takahashi; T. Uezono; M. Shintani; K. Masu; T. Sato
        IEEE Asian solid-state circuit conference (ASSCC), 2009年11月
      • セラミックコンデンサのモデル化とオンパッケージでの電源ノイズ対策
        佐藤 高史
        シリコンRF研究会, 2009年11月
      • An Adaptive Test for Parametric Faults Based on Statistical Timing Information
        M. Shintani; T. Uezono; T. Takahashi; H. Ueyama; T. Sato; K. Hatayama; T. Aikyo; K. Masu
        IEEE Asian Test Symposium (ATS), 2009年09月
      • パス遅延測定によるチップ特性の推定手法
        高橋 知之; 上薗 巧; 越智 裕之; 益 一哉; 佐藤 高史
        DA シンポジウム, 2009年08月
      • 柔軟な信頼性を実現する再構成可能デバイスのための配置配線ツール
        今川 隆司; 廣本 正之; 高 永勲; Dawood Alnajjar; 密山 幸男; 越智 裕之; 佐藤 高史
        DA シンポジウム, 2009年08月
      • RTNを考慮した回路特性ばらつき解析方法の検討
        増田 弘生; 佐方 剛; 佐藤 高史; 橋本 昌宜; 古川 且洋; 田中 正和; 山中 俊輝; 金本俊幾
        DA シンポジウム, 2009年08月
      • 重点的サンプリングを用いたランダムウォークによる線形回路解析の高速化
        宮川 哲朗; 山長 功; 越智 裕之; 佐藤 高史
        DA シンポジウム, 2009年08月
      • Bridging the Gap Between Laboratory Measurement and Simulation Model (Invited)
        T. Sato
        International Workshop on Emerging Circuits and Systems (IWECS), 2009年07月, 招待有り
      • Two-dimensional Moment Method for Analyzing Current Distribution of a Ceramic Capacitor
        K. Yamanaga; S. Amakawa; T. Sato; K. Masu
        International Symposium on Electromagnetic Compatibility (EMC Kyoto), 2009年07月
      • Application of the EMI Decoupling Circuit Consisting of Two Capacitors and a Power Trace to Quad Flat Package (QFP) LSI
        H. Sasaki; T. Harada; T. Kuriyama; T. Sato; K. Masu
        IEICE Transactions on Communications (Japanese Edition), 2009年05月
      • SRAM回路の構造的対称性を考慮した2段階学習型重点的サンプリング
        伊達 貴徳; 萩原 汐; 上薗 巧; 佐藤 高史
        信学技報, 2009年05月
      • S-parameter-based Modal Decomposition of Multiconductor Transmission Lines and Its Application to De-embedding
        S. Amakawa; K. Yamanaga; H. Ito; T. Sato; N. Ishihara; K. Masu
        IEEE International Conference on Microelectronic Test Structures (ICMTS), 2009年03月
      • 電源ノイズ考慮統計的タイミング解析を用いたデカップリング容量割当手法
        榎並 孝司; 橋本 昌宜; 佐藤 高史
        信学技報o, 2009年03月
      • 適応型テストにおけるクリティカルパスのクラスタリング手法
        上薗 巧; 高橋 知之; 植山 寛之; 新谷 道広; 佐藤 高史; 益 一哉
        電子情報通信学会 総合大会, 2009年03月
      • 統計的タイミング情報に基づく適応型テスト
        新谷 道広; 高橋 知之; 植山 寛之; 上薗 巧; 佐藤 高史; 畠山 一実; 相京 隆; 益 一哉
        電子情報通信学会 総合大会, 2009年03月
      • 状態依存性解析のための電源間容量のテーブルルックアップ計算
        山長 功; 高橋 亮; 萩原 汐; 佐藤 高史; 益 一哉
        電子情報通信学会 総合大会, 2009年03月
      • 状態依存性を考慮した論理回路の電源間容量モデルの検討
        萩原 汐; 高橋 亮; 山長 功; 佐藤 高史; 益 一哉
        電子情報通信学会 総合大会, 2009年03月
      • STIストレスによるMOSFET特性変動のコンパクトモデル
        山田 健太; 庄 俊之; 益 一哉; 中山 範明; 佐藤 高史; 天川 修平; 國清 辰也; 吉村尚郎; 伊藤 優; 熊代成孝
        第56回応用物理学関係連合講演会, 2009年03月
      • A MOS Transistor Array With Pico-ampere Order Precision for Accurate Characterization of Leakage Current Variation
        T. Sato; H. Ueyama; N. Nakayama; K. Masu
        IEEE Asian solid-state circuit conference (ASSCC), 2008年11月
      • Decoupling Capacitance Allocation for Timing With Statistical Noise Model and Timing Analysis
        T. Enami; M. Hashimoto; T. Sato
        IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 2008年11月
      • An Efficient Extraction of Random and Systematic Gate-length Variation Through Poly-Si Resistor Measurement
        N. Nakayama; T. Sato; H. Ueyama; K. Masu
        Workshop on Test Structure Design for Variability Characterization, 2008年11月
      • Non-invasive Direct Probing for On-chip Voltage Measurement
        T. Sato; K. Yamanaga; K. Masu
        International SoC Design Conference (ISOCC), 2008年11月
      • Accurate Parasitic Inductance Determination of a Ceramic Capacitor Through 2-port Measurements
        K. Yamanaga; T. Sato; K. Masu
        The 17th topical meeting on electrical performance of electronic packaging (EPEP), 2008年10月
      • CMOS論理回路における電源網容量の入力状態依存性についての検討
        高橋 亮; 山長 功; 佐藤 高史; 益 一哉
        電子情報通信学会 ソサイエティ大会, 2008年09月
      • 電源電圧変動やプロセスばらつきに対する標準セルの遅延感度検討
        高橋 知之; 植山 寛之; 萩原 汐; 佐藤 高史; 益 一哉
        電子情報通信学会 ソサイエティ大会, 2008年09月
      • 抵抗測定法によるトランジスタアレイ回路の測定時間短縮化
        植山 寛之; 佐藤 高史; 中山 範明; 益 一哉
        電子情報通信学会 ソサイエティ大会, 2008年09月
      • 回路特性ばらつき解析に対する重点的サンプリングの適用検討
        伊達 貴徳; 萩原 汐; 佐藤 高史; 中山 範明; 益 一哉
        電子情報通信学会 ソサイエティ大会, 2008年09月
      • LSI等価回路モデル評価に向けたオンチップ電源電圧の測定手法
        佐藤 高史; 山長 功
        電子情報通信学会 ソサイエティ大会, 2008年09月
      • パス遅延時間ばらつきを考慮した電源遮断回路の設計指針
        萩原 汐; 佐藤 高史; 益 一哉
        DA シンポジウム, 2008年08月
      • Non-parametric Statistical Static Timing Analysis: An SSTA Framework for Arbitrary Distribution
        M. Imai; T. Sato; N. Nakayama; K. Masu
        ACM/IEEE Design Automation Conference (DAC), 2008年06月
      • On-chip Differential and Common Mode Voltage Measurement Using Off-chip Referenced Twin Probing
        K. Yamanaga; T. Sato; K. Masu
        IEEE Workshop on Signal Propagation on Interconnects (SPI), 2008年05月
      • Substrate-geometry Aware 2-port Modeling for Surface-mount Passive Components
        K. Yamanaga; T. Sato; K. Masu
        19th International Zurich Symposium on Electromagnetic Compatibility, 2008年05月
      • プロセスばらつきの積極的活用による非繰返し電圧波形の測定
        上薗 巧; 佐藤 高史; 益一哉
        第21回 回路とシステム軽井沢ワークショップ, 2008年04月
      • 電源遮断回路におけるパス遅延時間ばらつきの計算
        萩原 汐; 佐藤 高史; 益一哉
        第21回 回路とシステム軽井沢ワークショップ, 2008年04月
      • 基板実装状態を考慮可能な表面実装型受動部品の2ポートモデリング手法
        山長 功; 佐藤 高史; 益 一哉
        第21回 回路とシステム軽井沢ワークショップ, 2008年04月
      • チップ内システマティックばらつきと回路スキュー特性相関
        増田 弘生; 大川 眞一; 黄田 剛; 奥村 隆昌; 黒川 敦; 増田 弘生; 金本 俊幾; 佐藤 高史; 橋本 昌宜; 高藤 浩資; 中島 英斉; 小野 信任
        第21回 回路とシステム軽井沢ワークショップ, 2008年04月
      • 統計的 STA でのスルー依存性を考慮した遅延ばらつき計算手法の提案
        奥村 隆昌; 黒川 敦; 増田 弘生; 金本 俊幾; 佐藤 高史; 橋本 昌宜; 高藤 浩資; 中島 英斉; 小野 信任
        第21回 回路とシステム軽井沢ワークショップ, 2008年04月
      • リーク電流測定用トランジスタアレイ回路の測定
        植山 寛之; 佐藤 高史; 中山 範明; 益 一哉
        電子情報通信学会 総合大会, 2008年03月
      • 測定系の侵襲性を定量化可能なオンチップ電源電圧変動の直接測定手法
        山長 功; 佐藤; 高史; 益 一哉
        電子情報通信学会 総合大会, 2008年03月
      • 電源遮断回路におけるインバータ列遅延時間ばらつきの計算
        萩原 汐; 佐藤 高史; 益 一哉
        電子情報通信学会 総合大会, 2008年03月
      • Determination of Optimal Polynomial Regression Function to Decompose On-die Systematic and Random Variations
        T. Sato; H. Ueyama; N. Nakayama; K. Masu
        ACM/IEEE Asia and South Pacific Design Automation Conference (ASPDAC), 2008年01月
      • [チュートリアル講演] 集積回路における電源品質の解析技術
        佐藤 高史
        信学技報, 2008年01月
      • プリント配線基板電源網のインピーダンス低減手法の検討
        山長 功; 佐藤 高史; 益 一哉
        システムLSIワークショップ, 2007年11月
      • Full-wave 電磁界シミュレータによるプリント回路基板の電源網モデル化
        山長 功; 佐藤 高史; 益 一哉
        シリコンRF研究会, 2007年11月
      • A Study on Variation-component Decomposition Using Polynomial Smoothing Function
        T. Sato; H. Ueyama; N. Nakayama; K. Masu
        The 14th workshop on synthesis and system integration of mixed information technologies (SASIMI), 2007年10月
      • パワーゲーティング技術における製造ばらつきの回路特性への影響
        萩原 汐; 佐藤 高史; 益 一哉
        情報処理学会第131回システムLSI設計技術研究会, 2007年10月
      • Weakness Identification for Effective Repair of Power Distribution Network
        T. Sato; S. Hagiwara; T. Uezono; K. Masu
        17th International Workshop on Power and Timing Modeling, Optimization and Simulation (PATMOS), 2007年09月
      • An Implementation of Voltage Drop Sensor Circuit for Power Supply Network Monitoring
        T. Sato; T. Uezono; K. Masu
        Workshop on SoC Design Methodologies, 2007年09月
      • ビアのインダクタンスに着目したプリント配線基板電源網のインピーダンス低減手法の検討
        山長 功; 佐藤 高史; 益 一哉
        電子情報通信学会 ソサイエティ大会, 2007年09月
      • 電源電圧降下の時間的・空間的広がり可視化手法
        上薗 巧; 佐藤 高史; 益 一哉
        電子情報通信学会 ソサイエティ大会, 2007年09月
      • 大域ばらつきの近似次数が回路遅延ばらつきに与える影響
        植山 寛之; 佐藤 高史; 中山 範明; 益 一哉
        電子情報通信学会 ソサイエティ大会, 2007年09月
      • ノンパラメトリック統計的タイミング解析 (SSTA) の実現手法の検討
        今井 正紀; 佐藤 高史; 中山 範明; 益 一哉
        DA シンポジウム, 2007年08月
      • 相関係数にもとづく回帰分析の電源改善への適用
        萩原 汐; 上薗 巧; 佐藤 高史; 益 一哉
        第20回 回路とシステム軽井沢ワークショップ, 2007年04月
      • 45-65nm ノードにおける遅延ばらつき特性の環境温度依存性
        中林 太美世; 黒川 敦; 佐藤 高史; 橋本 昌宜; 増田 弘生
        第20回 回路とシステム軽井沢ワークショップ, 2007年04月
      • 統計的パス遅延解析のための Monte Carlo STA 実行数評価の一手法
        今井 正紀; 佐藤 高史; 中山 範明; 益 一哉
        第20回 回路とシステム軽井沢ワークショップ, 2007年04月
      • 統計的 STA でのスルー依存性を考慮した遅延ばらつき計算手法の提案
        高藤 浩資; 小林 宏行; 小野 信任; 増田 弘生; 中島 英斉; 奥村 隆昌; 橋本 昌宜; 佐藤 高史
        第20回 回路とシステム軽井沢ワークショップ, 2007年04月
      • Improvement of Power Distribution Network Using Correlation-based Regression Analysis
        S. Hagiwara; T. Uezono; T. Sato; K. Masu
        ACM Great Lakes Symposium on VLSI (GLSVLSI), 2007年03月
      • Adaptable Wire-length Distribution With Tunable Occupation Probability
        S. Amakawa; T. Uezono; T. Sato; K. Masu
        ACM/IEEE International Workshop on System Level Interconnect Prediction (SLIP), 2007年03月
      • A MOS Transistor-array for Accurate Measurement of Subthreshold Leakage Variation
        T. Sato; T. Uezono; S. Hagiwara; K. Okada; S. Amakawa; N. Nakayama; K. Masu
        International Symposium on Quality Electronic Design (ISQED), 2007年03月
      • 電源解析への相関係数利用の検討
        萩原 汐; 上薗 巧; 佐藤 高史; 益 一哉
        電子情報通信学会 総合大会, 2007年03月
      • 非理想的な回路分割とセル占有率を考慮した配線長分布モデル
        天川 修平; 上薗 巧; 佐藤 高史; 益 一哉
        電子情報通信学会 総合大会, 2007年03月
      • A Multi-drop Transmission-line Interconnect in Si LSI
        J. Seita; H. Ito; K. Okada; T. Sato; K. Masu
        ACM/IEEE Asia and South Pacific Design Automation Conference (ASPDAC), 2007年01月
      • 電源ノイズによる遅延変動の測定とフルチップシミュレーションによる遅延変動の再現
        小笠原 泰弘; 榎並 孝司; 橋本 昌宜; 佐藤 高史; 尾上 孝雄
        信学技報, 2007年01月
      • A Left Handed Material on Si CMOS Chip With Wafer Level Package Process
        J. Kim; T. Yammouchi; K. Okada; T. Sato; K. Masu
        Asia Pacific Microwave Conference (APMC), 2006年12月
      • Thermal Driven Module Placement Using Sequence-pair
        M. Okada; C. Kodama; T. Sato; K. Fujiyoshi
        Asia Pacific Conference on Circuits and Systems (APCCAS), 2006年12月
      • MOSFETのリーク電流ばらつき測定のための回路検討
        藤久 雄己; 岡田 健一; 佐藤 高史; 中山 範明; 益 一哉
        システムLSIワークショップ, 2006年11月
      • A Time-slicing Ring Oscillator for Capturing Instantaneous Delay Degradation and Power Supply Voltage Drop
        T. Sato; Y. Matsumoto; K. Hirakimoto; M. Komoda; J. Mano
        IEEE Custom Integrated Circuits Conference (CICC), 2006年09月
      • Measurement Results of Delay Degradation Due to Power Supply Noise Well Correlated With Full-chip Simulation
        Y. Ogasahara; T. Enami; M. Hashimoto; T. Sato; T. Onoye
        IEEE Custom Integrated Circuits Conference (CICC), 2006年09月
      • 高精度デバイスばらつき測定のための電源構造の設計
        萩原 汐; 佐藤 高史; 益 一哉
        電子情報通信学会 ソサイエティ大会, 2006年09月
      • リングオシレータを用いる瞬時電圧降下測定手法の精度改善
        上薗 巧; 佐藤 高史; 益 一哉
        電子情報通信学会 ソサイエティ大会, 2006年09月
      • 伝送線路を用いたオンチップ高速伝送回路の研究
        清田 淳紀; 伊藤 浩之; 岡田 健一; 佐藤 高史; 益 一哉
        電子情報通信学会 ソサイエティ大会, 2006年09月
      • Si CMOSチップにおける右手・左手系伝送線路の検討
        金 章九; 山内 拓弥; 岡田 健一; 佐藤 高史; 益 一哉
        電子情報通信学会 ソサイエティ大会, 2006年09月
      • MOSFETのリーク電流ばらつき測定のための回路検討
        藤久 雄己; 上園 巧; 萩原 汐; 岡田 健一; 佐藤 高史; 中山 範明; 益 一哉
        電子情報通信学会 ソサイエティ大会, 2006年09月
      • 統計的 STA の精度検証手法
        小林 宏行; 小野 信任; 佐藤 高史; 岩井 二郎; 橋本 昌宜
        DA シンポジウム, 2006年07月
      • 統計的 SSTA の精度検証方法
        小林 宏行; 小野 信任; 佐藤 高史; 岩井 二郎; 橋本 昌宜
        第19回 回路とシステム軽井沢ワークショップ, 2006年04月
      • オンチップ熱バラツキを考慮したモジュール配置手法
        岡田 典英; 児玉 親亮; 佐藤 高史; 藤吉 邦洋
        DA シンポジウム, 2005年08月
      • ジッタ制約を考慮した IO 同時動作設計ルールの提案
        蜂屋 孝太郎; 小林 宏行; 奥村 隆昌; 佐藤 高史; 岡 宏規
        第18回 回路とシステム軽井沢ワークショップ, 2005年04月
      • Successive Pad Assignment Algorithm to Optimize Number and Location of Power Supply Pad Using Incremental Matrix Inversion
        T. Sato; M. Hashimoto; H. Onodera
        ACM/IEEE Asia and South Pacific Design Automation Conference (ASPDAC), 2005年01月
      • On-chip Thermal Gradient Analysis and Temperature Flattening for SoC Design
        T. Sato; J. Ichimiya; N. Ono; K. Hachiya; M. Hashimoto
        ACM/IEEE Asia and South Pacific Design Automation Conference (ASPDAC), 2005年01月
      • Timing Analysis Considering Temporal Supply Voltage Fluctuation
        M. Hashimoto; J. Yamaguchi; T. Sato; H. Onodera
        ACM/IEEE Asia and South Pacific Design Automation Conference (ASPDAC), 2005年01月
      • An IR-drop Minimization by Optimizing Number and Location of Power Supply Pads
        T. Sato; M. Hashimoto; H. Onodera
        The 12th workshop on synthesis and system integration of mixed information technologies (SASIMI), 2004年10月
      • フロアプランにおけるオンチップ熱ばらつきの解析と対策
        佐藤 高史; 市宮 淳次; 小野 信任; 蜂屋 孝太郎; 橋本 昌宜
        DA シンポジウム, 2004年07月
      • オンチップ・インダクタンスとは? --モデリングと抽出技術--
        黒川 敦; 佐藤 高史; 金本 俊幾
        DA シンポジウム, 2003年07月
      • VLSI 設計・信号品質解析におけるインダクタンスの影響とその重要性
        佐藤 高史; 金本 俊幾; 黒川 敦
        DA シンポジウム, 2003年07月
      • A Statistical Methodology for Screening Inductance Dominated Interconnects in Timing Analysis
        T. Kanamoto; T. Sato; A. Kurokawa; Y. Kawakami; H. Oka; T. Kitaura; H. Kobayashi; M. Hashimoto
        Information Processing Society of Japan, 2003年05月
      • 電源・グランド間容量が同時切替えノイズに与える影響の解析
        坂田 和之; 佐藤 高史; 横溝 剛一
        第17回 回路とシステム(軽井沢)ワークショップ, 2003年04月
      • Design and Measurement of an Inductance-oscillator for Analyzing Inductance Impact on On-chip Interconnect Delay
        T. Sato; H. Masuda
        International Symposium on Quality Electronic Design (ISQED), 2003年03月
      • Approximate Formulae Approach for Efficient Inductance Extraction
        A. Kurokawa; T. Sato; H. Masuda
        ACM/IEEE Asia and South Pacific Design Automation Conference (ASPDAC), 2003年01月
      • Accurate Prediction of the Impact of On-chip Inductance on Interconnect Delay Using Electrical and Physical Parameter-based RSF
        T. Sato; T. Kanamoto; A. Kurokawa; Y. Kawakami; H. Oka; T. Kitaura; H. Kobayashi; M. Hashimoto
        ACM/IEEE Asia and South Pacific Design Automation Conference (ASPDAC), 2003年01月
      • インダクタンスに起因する配線遅延変動の統計的予測手法
        佐藤 高史; 金本 俊幾; 黒川 敦; 川上 善之; 岡 宏規; 北浦 智靖; 池内 敦彦; 小林 宏之; 橋本 昌宜
        電子情報通信学会ソサイエティ大会 チュートリアル講演, 2002年09月
      • 0.1-um級 LSI の遅延計算における寄生インダクタンスを考慮すべき配線の統計的選別手法
        金本 俊幾; 佐藤 高史; 黒川 敦; 川上 善之; 岡 宏規; 北浦 智靖; 池内 敦彦; 小林 宏之; 橋本 昌宜
        DA シンポジウム, 2002年07月
      • 動的電源ノイズ解析のための電源グリッドモデル抽出
        蜂屋 孝太郎; 黒川 敦; 佐藤 高史; 南 文裕; 増田 弘生
        DAシンポジウム, 2002年07月
      • 斜め配線を含む VLSIの高速オンチップ・インダクタンス解析
        黒川 敦; 蜂屋 孝太郎; 佐藤 高史; 徳升 一也; 増田 弘生
        第15回 回路とシステム(軽井沢)ワークショップ, 2002年04月
      • インダクタンスが配線遅延に及ぼす影響の定量的評価方法
        佐藤 高史; 金本 俊幾; 黒川 敦; 川上 善之; 岡 宏規; 北浦 智靖; 池内 敦彦; 小林 宏之; 橋本 昌宜
        第15回 回路とシステム(軽井沢)ワークショップ, 2002年04月
      • 有限要素法と回路解析の連成解析による同時切替えノイズの評価
        馬淵 雄一; 諏訪 元大; 中村 篤; 福本 英士; 白井 優之; 林 亨; 横溝 剛一; 佐藤 高史; 大竹 成典; 坂田 和之
        第14回 エレクトロニクス実装学会全国大会, 2002年03月
      • Efficient Generation of Delay Change Curves for Noise-aware Static Timing Analysis
        K. Agarwal; Y. Cao; T. Sato; D. Sylvester; C. Hu
        ACM/IEEE Asia and South Pacific Design Automation Conference (ASPDAC), 2002年01月
      • New Approaches to Noise-aware Static Timing Analysis
        Y. Cao; T. Sato; X. Huang; C. Hu; D. Sylvester
        ACM/IEEE International Workshop on Timing Issues in the Specification and Synthesis of Digital Systems (TAU), 2000年12月
      • Characterization of Interconnect Coupling Noise Using In-situ Delay-change Curve Measurements
        T. Sato; Y. Cao; D. Sylvester; C. Hu
        13th IEEE International ASIC/SOC Conference, 2000年09月
      • クロストークノイズによる配線遅延の変動とノイズ波形のモデル化に関する検討
        Takashi Sato; Yu Cao; Dennis Sylvester; Chenming Hu
        信学技報, 2000年09月
      • プリント回路基板の高周波電流解析用 LSI モデリング手法の検討
        大竹 成典; 馬淵 雄一; 林 亨; 佐藤 高史; 横溝 剛一; 白川 真司; 福本 英士; 中村 篤
        信学技報, 2000年07月
      • New Paradigm of Predictive CMOS Modeling for Early Circuit Simulation
        Y. Cao; T. Sato; M. Orshansky; D. Sylvester; C. Hu
        IEEE Custom Integrated Circuits Conference (CICC), 2000年05月
      • Accurate In-situ Measurement of Peak Noise and Delay Induced by Interconnect Coupling
        T. Sato; D. Sylvester; Y. Cao; C. Hu
        IEEE International Solid-State Circuits Conference (ISSCC), 2000年02月
      • A 5 GBytes/s Data Transfer Scheme With Bit-to-bit Skew Control for Synchronous DRAM
        T. Sato; Y. Nishio; T. Sugano; Y. Nakagome
        International Symposium on VLSI Circuits, 1998年06月
      • ビット間スキュー制御を有するシンクロナス DRAM の 5 GByte/s データ伝送技術
        佐藤 高史; 西尾 洋二; 管野 利夫; 中込 儀延
        信学技報, 1998年06月
      • A practical row interchanging algorithm for hierarchically constructed circuit matrices using modified modal analysis
        佐藤 高史; 見山 見可子; 横溝 剛一; 仁保 宏二郎
        信学技報, 1997年12月
      • メモリ回路を用いた回路分割型回路シミュレーションの評価
        見山 美可子; 佐藤 高史; 北城 三郎; 仁保 宏二郎
        信学技報, 1996年04月
      • 機能関数を用いたアナログ/デジタル混在回路機能検証高速化手法の一検討
        佐藤 高史; 信澤 理子; 見山 美可子; 横溝 剛一
        電子情報通信学会 総合大会, 1994年03月

      書籍等出版物

      • VLSI Design and Test for Systems Dependability
        Takashi Sato, 分担執筆, Chapter 6, Time-Dependent Degradation in Device Characteristics and Countermeasures by Design
        Springer, 2019年04月, 査読無し
      • Circuit Design for Reliability
        Reis, Cao; Wir, 分担執筆, Chapter 5
        Springer, 2014年11月, 査読無し
      • Bias Temperature Instability for Devices and Circuits
        Grasser, Tibor, 分担執筆, Chapter 19 and 20, pp.719-782,
        Springer, 2013年12月, 査読無し
      • 動画像からのロバストな心拍数推定
        佐藤高史, 分担執筆
        技術情報協会

      産業財産権

      • 特願2020-205323, 情報処理装置、コンピュータプログラム、情報処理方法及びシミュレーション情報提供方法
        佐藤 高史; 塚本 裕貴; 辺 松
      • 特願2018-154477, PUF回路群,PUF回路群の製造方法,PUF回路の使用方法,及びネットワークシステム
        佐藤 高史; 田中 悠貴; 辺 松; 廣本 正之
      • 特願2013-020277, 太陽電池、複合太陽電池および集積回路
        越智裕之; 池辺 卓; 佐藤高史
      • 特開特開2011-197895, 集積回路電源間容量の計算装置、及び、集積回路 電源間容量の計算方法
        益一哉; 山長功; 萩原汐; 佐藤高史
      • 特許特許5246785, 回路モデル作成装置、回路モデル作成方法、 シミュレーション装置、及び、シミュレーション方法
        佐藤高史; 山長功; 益一哉
      • 特許2006-511097, 特願2006-511097, 電子回路
        諏訪元大; 宮木美典; 林亨; 佐野亮一; 松井重純; 成瀬峰信; 佐藤高史; 塩田恒星

      外部資金:科学研究費補助金

      • 限定的一時複製を可能とする物理的複製困難関数回路
        挑戦的研究(萌芽)
        中区分60:情報科学、情報工学およびその関連分野
        京都大学
        佐藤 高史
        自 2020年07月30日, 至 2023年03月31日, 完了
        物理的複製困難関数;特性ばらつき;認証;セキュリティ;PUF;物理的複製困難回路;PUF;ハードウェアセキュリティ
      • 有機-シリコン混成回路による高機能・超低価格使い捨てヘルスケアセンサの実現
        基盤研究(B)
        小区分60040:計算機システム関連
        京都大学
        佐藤 高史
        自 2020年04月01日, 至 2023年03月31日, 完了
        有機トランジスタ;センサ回路;スイッチマトリクス;バイアス生成回路;増幅回路;セレクタ回路;ヘルスケアセンサ;センサ;トランジスタアレイ;ハイブリッド回路
      • 不正確演算による深層学習向け高効率計算技術
        基盤研究(B)
        小区分60040:計算機システム関連
        京都大学
        廣本 正之
        自 2018年04月01日, 至 2021年03月31日, 採択後辞退
        近似計算;ニューラルネットワーク;計算機アーキテクチャ;画像認識;深層学習;不正確演算;プロセッサアーキテクチャ
      • トランジスタの特性変動モデルにもとづく時変チップ ID の実現
        基盤研究(B)
        京都大学
        佐藤 高史
        自 2017年04月01日, 至 2020年03月31日, 完了
        チップID;経年劣化;集積回路設計;認証;暗号;個体識別;暗号・認証;個体認識;集積回路;暗号・認証等
      • 生体情報の無意識・非接触・常時測定の研究
        挑戦的萌芽研究
        京都大学
        佐藤 高史
        自 2015年04月01日, 至 2017年03月31日, 完了
        心拍数推定;心拍間隔推定;非接触測定;生体情報;信号処理;脈波推定;ノイズ
      • 画像認識向けニューラルネットワークプロセッサの研究
        若手研究(B)
        京都大学
        廣本 正之
        自 2014年04月01日, 至 2017年03月31日, 完了
        画像認識;ニューラルネットワーク;ディープラーニング;低消費電力設計;近似計算;メモリスタ;低消費電力技術
      • 寿命予測・障害予防・修復を可能とする集積回路の信頼性設計手法
        基盤研究(B)
        京都大学
        佐藤 高史
        自 2014年04月01日, 至 2017年03月31日, 完了
        電子デバイス;デバイス設計・製造プロセス;シミュレーション;デバイスモデル;大規模アレイ回路;経時特性変化;モデル化
      • 大規模恒久保存基盤システムの構成方式の検討
        基盤研究(B)
        立命館大学;京都大学
        越智 裕之
        自 2011年04月01日, 至 2014年03月31日, 完了
        非接触通信;非接触電源供給;オンチップ太陽電池;長期信頼性;マスクROM;アドホックネットワーク
      • モンテカルロ法にもとづくタイミング解析高速化の研究
        基盤研究(B)
        京都大学
        佐藤 高史
        自 2010年04月01日, 至 2013年03月31日, 完了
        集積回路設計技術;CAD;タイミング解析;モンテカルロ法;集積回路設計;電子回路CAD
      • ナノスケール配線および回路のシグナル・インテグリティに関する研究
        特定領域研究
        理工系
        東京工業大学
        益 一哉
        自 2006年04月01日, 至 2010年03月31日, 完了
        ナノ配線;シグナル・インテグリティ;インテグリティ;揺らぎ;ばらつき;シグナルインテグリティ;ばらっき
      • 通信理論に基づくオンチップ超高速ナノスケールネットワークの開発
        基盤研究(A)
        東京工業大学
        益 一哉
        自 2004年04月01日, 至 2008年03月31日, 完了
        伝送線路;集積回路;微細配線;高速信号伝送;低消費電力;システムオンチップ;ネットワークオンチップ;配線長分布, transmission line;integrated cirtcuit;nano interconnect;high speed signal propagation;low power consumption;system on chip;network on chip;wire length distribution
      • フレキシブル印刷トランジスタによる偽造品対策回路実現のための多素子劣化評価
        基盤研究(C)
        小区分60040:計算機システム関連
        国立研究開発法人産業技術総合研究所
        小笠原 泰弘
        自 2022年04月01日, 至 2025年03月31日, 交付
        有機トランジスタ;フレキシブルデバイス;測定技術;多素子測定;信頼性評価;フレキシブル;ばらつき;PUF;ハードウェアセキュリティ
      • スケーラブル・シリコンリザバーコンピューティング
        基盤研究(B)
        小区分60040:計算機システム関連
        京都大学
        佐藤 高史
        自 2023年04月01日, 至 2026年03月31日, 交付
        シリコンリザバー;クロスバアレイ;リザバー表現;エコーステートネットワーク
      • DNA記憶装置による高機能演算の実現
        挑戦的研究(萌芽)
        中区分60:情報科学、情報工学およびその関連分野
        京都大学
        佐藤 高史
        自 2023年06月30日, 至 2026年03月31日, 交付
        デオキシリボ核酸;DNA記憶装置;超並列検索
      • スケーラブル・シリコンリザバーコンピューティング
        基盤研究(B)
        小区分60040:計算機システム関連
        京都大学
        佐藤 高史
        自 2023年04月01日, 至 2026年03月31日, 交付
        シリコンリザバー;クロスバアレイ;リザバー表現;エコーステートネットワーク;遅延フィードバック型リザバー
      list
        Last Updated :2025/04/23

        教育

        担当科目

        • 自 2024年04月01日, 至 2025年03月31日
          集積回路工学
          6113, 前期, 工学部, 2
        • 自 2024年04月01日, 至 2025年03月31日
          組み込み計算機システム
          6111, 後期, 工学部, 2
        • 自 2024年04月01日, 至 2025年03月31日
          計算機工学
          6016, 後期, 工学部, 2
        • 自 2024年04月01日, 至 2025年03月31日
          集積システム設計論
          3635, 後期, 情報学研究科, 2
        • 自 2024年04月01日, 至 2025年03月31日
          情報通信技術のデザイン
          3689, 後期, 情報学研究科, 2
        • 自 2024年04月01日, 至 2025年03月31日
          応用集積システム
          3677, 前期, 情報学研究科, 2
        • 自 2023年04月01日, 至 2024年03月31日
          集積回路工学
          6113, 前期, 工学部, 2
        • 自 2023年04月01日, 至 2024年03月31日
          組み込み計算機システム
          6111, 後期, 工学部, 2
        • 自 2023年04月01日, 至 2024年03月31日
          計算機工学
          6016, 後期, 工学部, 2
        • 自 2023年04月01日, 至 2024年03月31日
          集積システム設計論
          3635, 後期, 情報学研究科, 2
        • 自 2023年04月01日, 至 2024年03月31日
          情報通信技術のデザイン
          3689, 後期, 情報学研究科, 2
        • 自 2023年04月01日, 至 2024年03月31日
          応用集積システム
          3677, 前期, 情報学研究科, 2
        • 自 2022年04月01日, 至 2023年03月31日
          計算機工学
          6016, 後期, 工学部, 2
        • 自 2022年04月01日, 至 2023年03月31日
          集積システム設計論
          3635, 後期, 情報学研究科, 2
        • 自 2022年04月01日, 至 2023年03月31日
          集積回路工学
          6113, 前期, 工学部, 2
        • 自 2022年04月01日, 至 2023年03月31日
          応用集積システム
          3677, 前期, 情報学研究科, 2
        • 自 2022年04月01日, 至 2023年03月31日
          情報通信技術のデザイン
          3689, 後期, 情報学研究科, 2
        • 自 2022年04月01日, 至 2023年03月31日
          組み込み計算機システム
          6111, 後期, 工学部, 2
        • 自 2011年04月, 至 2012年03月
          Advanced Study in CCE II
          通年, 情報学研究科
        • 自 2011年04月, 至 2012年03月
          Advanced Study in CCE I
          通年, 情報学研究科
        • 自 2011年04月, 至 2012年03月
          通信情報システム特別研究1
          通年, 情報学研究科
        • 自 2011年04月, 至 2012年03月
          通信情報システム特別研究2
          通年, 情報学研究科
        • 自 2011年04月, 至 2012年03月
          集積システム設計論
          後期, 情報学研究科
        • 自 2011年04月, 至 2012年03月
          応用集積システム
          前期, 情報学研究科
        • 自 2011年04月, 至 2012年03月
          通信情報システム特別セミナー
          通年, 情報学研究科
        • 自 2011年04月, 至 2012年03月
          集積システム工学特別セミナー
          通年, 情報学研究科
        • 自 2012年04月, 至 2013年03月
          応用集積システム
          前期, 情報学研究科
        • 自 2012年04月, 至 2013年03月
          通信情報システム特別セミナー
          通年, 情報学研究科
        • 自 2012年04月, 至 2013年03月
          通信情報システム特別研究2
          通年, 情報学研究科
        • 自 2012年04月, 至 2013年03月
          通信情報システム特別研究1
          通年, 情報学研究科
        • 自 2012年04月, 至 2013年03月
          集積システム工学特別セミナー
          通年, 情報学研究科
        • 自 2012年04月, 至 2013年03月
          集積システム設計論
          後期, 情報学研究科
        • 自 2013年04月, 至 2014年03月
          計算機工学
          後期, 工学部
        • 自 2013年04月, 至 2014年03月
          組み込み計算機システム
          後期, 工学部
        • 自 2013年04月, 至 2014年03月
          集積回路工学
          前期, 工学部
        • 自 2013年04月, 至 2014年03月
          通信情報システム特別研究1
          通年, 情報学研究科
        • 自 2013年04月, 至 2014年03月
          通信情報システム特別研究1
          前期集中, 情報学研究科
        • 自 2013年04月, 至 2014年03月
          通信情報システム特別研究2
          通年, 情報学研究科
        • 自 2013年04月, 至 2014年03月
          System-Level Design Methodology for SoCs
          後期, 情報学研究科
        • 自 2013年04月, 至 2014年03月
          応用集積システム
          前期, 情報学研究科
        • 自 2013年04月, 至 2014年03月
          情報通信技術のデザイン
          前期, 情報学研究科
        • 自 2013年04月, 至 2014年03月
          通信情報システム特別セミナー
          通年, 情報学研究科
        • 自 2013年04月, 至 2014年03月
          集積システム工学特別セミナー
          通年, 情報学研究科
        • 自 2014年04月, 至 2015年03月
          計算機工学
          後期, 工学部
        • 自 2014年04月, 至 2015年03月
          組み込み計算機システム
          後期, 工学部
        • 自 2014年04月, 至 2015年03月
          集積回路工学
          前期, 工学部
        • 自 2014年04月, 至 2015年03月
          通信情報システム特別研究1
          通年, 情報学研究科
        • 自 2014年04月, 至 2015年03月
          通信情報システム特別研究2
          通年, 情報学研究科
        • 自 2014年04月, 至 2015年03月
          System-Level Design Methodology for SoCs
          後期, 情報学研究科
        • 自 2014年04月, 至 2015年03月
          応用集積システム
          前期, 情報学研究科
        • 自 2014年04月, 至 2015年03月
          情報通信技術のデザイン
          前期, 情報学研究科
        • 自 2014年04月, 至 2015年03月
          通信情報システム特別セミナー
          通年, 情報学研究科
        • 自 2014年04月, 至 2015年03月
          集積システム工学特別セミナー
          通年, 情報学研究科
        • 自 2014年04月, 至 2015年03月
          Advanced Study in CCE I
          通年, 情報学研究科
        • 自 2014年04月, 至 2015年03月
          Advanced Study in CCE II
          通年, 情報学研究科
        • 自 2014年04月, 至 2015年03月
          通信情報システム特別研究1
          後期前期, 情報学研究科
        • 自 2015年04月, 至 2016年03月
          Advanced Study in CCE I
          通年, 情報学研究科
        • 自 2015年04月, 至 2016年03月
          Advanced Study in CCE II
          通年, 情報学研究科
        • 自 2015年04月, 至 2016年03月
          System-Level Design Methodology for SoCs
          後期, 情報学研究科
        • 自 2015年04月, 至 2016年03月
          応用集積システム
          前期, 情報学研究科
        • 自 2015年04月, 至 2016年03月
          情報通信技術のデザイン
          前期, 情報学研究科
        • 自 2015年04月, 至 2016年03月
          組み込み計算機システム
          後期, 工学部
        • 自 2015年04月, 至 2016年03月
          計算機工学
          後期, 工学部
        • 自 2015年04月, 至 2016年03月
          通信情報システム特別セミナー
          通年, 情報学研究科
        • 自 2015年04月, 至 2016年03月
          通信情報システム特別研究2
          前期集中, 情報学研究科
        • 自 2015年04月, 至 2016年03月
          通信情報システム特別研究2
          通年, 情報学研究科
        • 自 2015年04月, 至 2016年03月
          通信情報システム特別研究1
          後期集中, 情報学研究科
        • 自 2015年04月, 至 2016年03月
          通信情報システム特別研究1
          通年, 情報学研究科
        • 自 2015年04月, 至 2016年03月
          集積回路工学
          前期, 工学部
        • 自 2015年04月, 至 2016年03月
          集積システム工学特別セミナー
          通年, 情報学研究科
        • 自 2016年04月, 至 2017年03月
          Advanced Study in CCE I
          通年, 情報学研究科
        • 自 2016年04月, 至 2017年03月
          Advanced Study in CCE II
          通年, 情報学研究科
        • 自 2016年04月, 至 2017年03月
          System-Level Design Methodology for SoCs
          後期, 情報学研究科
        • 自 2016年04月, 至 2017年03月
          応用集積システム
          前期, 情報学研究科
        • 自 2016年04月, 至 2017年03月
          情報通信技術のデザイン
          前期, 情報学研究科
        • 自 2016年04月, 至 2017年03月
          組み込み計算機システム
          後期, 工学部
        • 自 2016年04月, 至 2017年03月
          計算機工学
          後期, 工学部
        • 自 2016年04月, 至 2017年03月
          通信情報システム特別セミナー
          通年, 情報学研究科
        • 自 2016年04月, 至 2017年03月
          通信情報システム特別研究2
          後期集中, 情報学研究科
        • 自 2016年04月, 至 2017年03月
          通信情報システム特別研究2
          通年, 情報学研究科
        • 自 2016年04月, 至 2017年03月
          通信情報システム特別研究1
          前期集中, 情報学研究科
        • 自 2016年04月, 至 2017年03月
          通信情報システム特別研究1
          通年, 情報学研究科
        • 自 2016年04月, 至 2017年03月
          集積回路工学
          前期, 工学部
        • 自 2016年04月, 至 2017年03月
          集積システム工学特別セミナー
          通年, 情報学研究科
        • 自 2017年04月, 至 2018年03月
          Advanced Study in CCE I
          通年, 情報学研究科
        • 自 2017年04月, 至 2018年03月
          Advanced Study in CCE II
          通年, 情報学研究科
        • 自 2017年04月, 至 2018年03月
          System-Level Design Methodology for SoCs
          後期, 情報学研究科
        • 自 2017年04月, 至 2018年03月
          応用集積システム
          前期, 情報学研究科
        • 自 2017年04月, 至 2018年03月
          情報通信技術のデザイン
          前期, 情報学研究科
        • 自 2017年04月, 至 2018年03月
          組み込み計算機システム
          後期, 工学部
        • 自 2017年04月, 至 2018年03月
          計算機工学
          後期, 工学部
        • 自 2017年04月, 至 2018年03月
          通信情報システム特別セミナー
          通年, 情報学研究科
        • 自 2017年04月, 至 2018年03月
          通信情報システム特別研究2
          前期集中, 情報学研究科
        • 自 2017年04月, 至 2018年03月
          通信情報システム特別研究2
          通年, 情報学研究科
        • 自 2017年04月, 至 2018年03月
          通信情報システム特別研究1
          前期集中, 情報学研究科
        • 自 2017年04月, 至 2018年03月
          通信情報システム特別研究1
          通年, 情報学研究科
        • 自 2017年04月, 至 2018年03月
          集積回路工学
          前期, 工学部
        • 自 2017年04月, 至 2018年03月
          集積システム工学特別セミナー
          通年, 情報学研究科
        • 自 2018年04月, 至 2019年03月
          System-Level Design Methodology for SoCs
          後期, 情報学研究科
        • 自 2018年04月, 至 2019年03月
          応用集積システム
          前期, 情報学研究科
        • 自 2018年04月, 至 2019年03月
          情報通信技術のデザイン
          前期, 工学研究科
        • 自 2018年04月, 至 2019年03月
          情報通信技術のデザイン
          前期, 情報学研究科
        • 自 2018年04月, 至 2019年03月
          組み込み計算機システム
          後期, 工学部
        • 自 2018年04月, 至 2019年03月
          計算機工学
          後期, 工学部
        • 自 2018年04月, 至 2019年03月
          集積回路工学
          前期, 工学部
        • 自 2019年04月, 至 2020年03月
          応用集積システム
          前期, 情報学研究科
        • 自 2019年04月, 至 2020年03月
          情報通信技術のデザイン
          後期, 情報学研究科
        • 自 2019年04月, 至 2020年03月
          組み込み計算機システム
          後期, 工学部
        • 自 2019年04月, 至 2020年03月
          計算機工学
          後期, 工学部
        • 自 2019年04月, 至 2020年03月
          集積回路工学
          前期, 工学部
        • 自 2019年04月, 至 2020年03月
          集積システム設計論
          後期, 情報学研究科
        • 自 2020年04月, 至 2021年03月
          応用集積システム
          前期, 情報学研究科
        • 自 2020年04月, 至 2021年03月
          情報通信技術のデザイン
          後期, 情報学研究科
        • 自 2020年04月, 至 2021年03月
          組み込み計算機システム
          後期, 工学部
        • 自 2020年04月, 至 2021年03月
          計算機工学
          後期, 工学部
        • 自 2020年04月, 至 2021年03月
          集積回路工学
          前期, 工学部
        • 自 2020年04月, 至 2021年03月
          集積システム設計論
          後期, 情報学研究科
        • 自 2021年04月, 至 2022年03月
          応用集積システム
          前期, 情報学研究科
        • 自 2021年04月, 至 2022年03月
          情報通信技術のデザイン
          後期, 情報学研究科
        • 自 2021年04月, 至 2022年03月
          組み込み計算機システム
          後期, 工学部
        • 自 2021年04月, 至 2022年03月
          計算機工学
          後期, 工学部
        • 自 2021年04月, 至 2022年03月
          集積回路工学
          前期, 工学部
        • 自 2021年04月, 至 2022年03月
          集積システム設計論
          後期, 情報学研究科

        博士学位審査

        • In-Band Full-Duplex Transmission for Next Generation Mobile Communication(次世代移動通信における帯域内全二重通信)
          森 聖太, 情報学研究科, 副査
          2024年03月25日
        • Leakage-Current-Aware Layout Design of DNTT-Based OTFTs and Its Applications to Digital Circuits(DNTTを用いる有機薄膜トランジスタのリーク電流考慮レイアウト設計とそのデジタル回路への応用)
          大島 國弘, 情報学研究科, 主査
          2024年03月25日
        • Fault-Resilient Resource Allocation in Network Function Virtualization(ネットワーク仮想化における故障耐性のある資源割り当て)
          康 瑞, 情報学研究科, 副査
          2023年09月25日
        • Robust Design of Low-voltage OTFT Circuits for Flexible Electronic Systems(フレキシブル電子システムに向けた低電圧有機薄膜トランジスタ回路のロバスト設計)
          Qin Zhaoxing, 情報学研究科, 主査
          2023年03月23日
        • オンチップ電源電圧変動を考慮したLSI-coreマクロモデルに関する研究
          田中 広志, 工学研究科, 副査
          2023年03月23日
        • 電力変換回路におけるパワーモジュールの熱設計に向けた特性測定とモデリング
          中村 洋平, 情報学研究科, 主査
          2023年03月23日
        • Design and Verification of Single-Flux-Quantum Digital Circuits Using Clocked and Clockless Gates(クロックトゲートとクロックレスゲートを用いた単一磁束量子回路の設計と検証)
          川口 隆広, 情報学研究科, 副査
          2023年01月23日
        list
          Last Updated :2025/04/23

          大学運営

          全学運営(役職等)

          • 自 2024年04月01日
            大学評価委員会点検・評価実行委員会 委員
          • 自 2010年04月01日, 至 2011年03月31日
            学生部委員会 委員
          • 自 2010年04月01日, 至 2012年03月31日
            学生生活委員会 委員
          • 自 2014年04月01日, 至 2015年03月31日
            教育用計算機専門委員会 委員
          • 自 2015年04月01日, 至 2017年03月31日
            広報委員会 委員
          • 自 2015年04月01日, 至 2017年03月31日
            広報委員会 紅萠編集専門部会
          • 自 2015年04月01日, 至 2017年03月31日
            広報委員会 紅萠編集専門部会

          部局運営(役職等)

          • 自 2023年04月01日, 至 2025年03月31日
            連携推進WG委員
          • 自 2023年04月01日, 至 2025年03月31日
            人を対象とする研究倫理小委員会委員
          • 自 2023年04月01日, 至 2025年03月31日
            評価・広報委員会委員長
          • 自 2023年04月01日, 至 2025年03月31日
            人権委員会委員
          • 自 2023年04月01日, 至 2025年03月31日
            情報学研究科副研究科長
          • 自 2020年04月01日, 至 2023年03月31日
            教務委員会委員
          • 自 2022年04月01日, 至 2023年03月31日
            人を対象とする研究倫理小委員会委員
          • 自 2022年04月01日, 至 2023年03月31日
            専攻長
          • 自 2022年04月01日, 至 2023年03月31日
            制規委員会委員
          • 自 2022年04月01日, 至 2023年03月31日
            情報セキュリティ委員会 委員
          • 自 2011年04月01日, 至 2012年03月31日
            専攻長会議
          • 自 2011年04月01日, 至 2012年03月31日
            制規委員会副委員長
          • 自 2011年04月01日, 至 2012年03月31日
            情報セキュリティ委員会委員
          • 自 2012年04月01日, 至 2014年03月31日
            企画委員会委員
          • 自 2014年04月01日, 至 2015年03月31日
            基盤整備委員会委員
          • 自 2014年04月01日, 至 2015年03月31日
            計算機小委員会委員長(基盤整備員会)
          • 自 2015年04月01日, 至 2016年03月31日
            基盤整備委員会委員長
          • 自 2016年04月01日, 至 2017年03月31日
            評価・広報委員会委員
          • 自 2016年04月01日, 至 2017年03月31日
            教務委員会委員
          • 自 2016年04月01日, 至 2017年03月31日
            第3期中期目標期間部局行動計画作成WG委員
          • 自 2016年04月01日, 至 2017年03月31日
            評価WG副委員長
          • 自 2016年04月01日, 至 2017年03月31日
            広報WG委員
          • 自 2017年04月01日, 至 2018年03月31日
            専攻長会議
          • 自 2017年04月01日, 至 2018年03月31日
            情報背急ティ委員会委員
          • 自 2018年06月01日, 至 2019年03月31日
            教務委員会委員
          • 自 2018年04月01日, 至 2019年03月31日
            財務委員会副委員長
          • 自 2019年04月01日, 至 2020年03月31日
            財務委員会委員長
          • 自 2020年04月01日, 至 2022年03月31日
            企画委員会委員

          ページ上部へ戻る