教育研究活動データベース

日本語に切り替えるswitch to english

橋本 昌宜

ハシモト マサノリ

情報学研究科 情報学専攻集積システム工学講座 教授

橋本 昌宜
list
    Last Updated :2025/04/23

    基本情報

    学部兼担

    • 工学部

    学位

    • 博士(情報学)(京都大学)
    • 修士(工学)(京都大学)

    出身大学院・研究科等

    • 京都大学, 大学院工学研究科修士課程電子通信工学専攻, 修了
    • 京都大学, 大学院情報学研究科博士後期課程通信情報システム専攻, Department of Communications and Computer Engineering, 修了

    出身学校・専攻等

    • 京都大学, 工学部電子工学科, 卒業

    プロフィール

    • プロフィール

      1997年 3月25日 京都大学工学部電子学科卒業
      1999年 3月23日 京都大学大学院工学研究科電子通信工学専攻 修士課程修了
      2000年 1月 1日 日本学術振興会特別研究員 (2001年3月31日まで)
      2001年 3月23日 京都大学大学院情報学研究科通信情報システム工学専攻 博士課程修了
      2001年 3月23日 博士号取得(京都大学博士(情報学) 通信情報システム工学専攻)
      2001年 4月 1日 京都大学情報学研究科、助手
      2001年 12月 1日 科学技術振興機構、さきがけ研究 研究員 (兼務, 2005年3月31まで)
      2004年 4月 1日 大阪大学大学院情報科学研究科 助教授
      2004年 4月 1日 京都大学大学院情報学研究科 非常勤講師 (兼務, 2005年3月31まで)
      2007年 4月 1日 大阪大学大学院情報科学研究科 准教授
      2016年 4月 1日 大阪大学大学院情報科学研究科 教授
      2021年 4月 1日 京都大学大学院情報学研究科 教授

    ID,URL

    関連Webサイト

    researchmap URL

    list
      Last Updated :2025/04/23

      研究

      研究テーマ・研究概要

      • 研究テーマ

        集積システム設計と設計技術
      • 研究概要

        「コンピューティング基盤の創る」をスローガンに、信頼できる高性能コンピュータの設計、次世代FPGAの開発、セキュアコンピューティングの研究など、革新的な解決策を模索しています。また、人間が無意識にコンピューティングと情報交換を行う新基盤の実現にも取り組んでいます。新原理に基づいた次世代コンピューティングの実現に向け、エネルギー効率の高いコンピューティングや近似計算の研究を推進しています。

      研究キーワード

      • Integrated Circuit Design
      • 集積回路設計

      研究分野

      • 情報通信, 計算機システム

      論文

      • A Current Chopper-assisted Magnetic Field-based Backscatter Communication Method with WPT Overcoming Ultra-low Coupling Coefficients
        Ryota Fukugasako; Hisafumi Asaue; Tomoki Shiotani; Masanori Hashimoto; Ryo Shirai
        IEEE Sensors Journal, 2025年
      • Development of Tiny Wireless Position Tracker Enabling Real-Time Intuitive 3D Modeling
        Yuki Maegawa; Masanori Hashimoto; Ryo Shirai
        SIGGRAPH Asia 2024 Posters, 2024年12月02日
      • Validating Terrestrial SER in 12-, 28- and 65-nm SRAMs Estimated by Simulation Coupled with One-Time Neutron Irradiation
        Kazusa Takami; Yuibi Gomi; Ryuichi Yasuda; Shin-ichiro Abe; Masatoshi Itoh; Hiroki Kanda; Mitsuhiro Fukuda; Masanori Hashimoto
        IEEE Transactions on Nuclear Science, 2025年
      • A predictive delay fault avoidance scheme for coarse-grained reconfigurable architecture
        Toshihiro Kameda; Hiroaki Konoura; Dawood Alnajjar; Yukio Mitsuyama; Masanori Hashimoto; Takao Onoye
        Proceedings - 22nd International Conference on Field Programmable Logic and Applications, FPL 2012, 2012年, 査読有り
      • Analytical Equivalent Circuit Extraction of Foreign Metal Objects in WPT Systems
        Ryo Shirai; Goro Nakao; Masanori Hashimoto
        IEEE Access, 2024年
      • Editorial TVLSI Positioning - Continuing and Accelerating an Upward Trajectory
        Alioto, M.; Abadir, M.S.; Arslan, T.; Boon, C.C.; Burg, A.; Chang, C.-H.; Chang, M.-F.; Chang, Y.-W.; Chen, P.; Corsonello, P.; Crovetti, P.; Dosho, S.; Drechsler, R.; Elfadel, I.A.M.; Han, R.; Hashimoto, M.; Heng, C.-H.; Heo, D.; Ho, T.-Y.; Homayoun, H.; Hwang, Y.-S.; Joshi, A.; Joshi, R.V.; Karnik, T.; Kim, C.; Kim; T.-H.T.; Kulkarni, J.; Kursun, V.; Lee, Y.; Li, H.H.; Li, H.; Mishra, P.; Mohammad, B.; Kermani, M.M.; Nagata, M.; Nii, K.; Pande, P.P.; Paul, B.C.; Pavlidis, V.F.; De Gyvez, J.P.; Savidis, I.; Schaumont, P.; Sebastiano, F.; Sengupta, A.; Seok, M.; Stan, M.R.; Tehranipoor, M.M.; Todri-Sanial, A.; Verhelst, M.; Vignoli, V.; Wen, X.; Xu, J.; Zhang, W.; Zhang, Z.; Zhou, J.; Zwolinski, M.; Weber, S.
        IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 2019年
      • Modeling the effect of global layout pattern on wirewidth variation for on-the-fly etching process modification
        Fukuda, D.; Watanabe, K.; Kanazawa, Y.; Hashimoto, M.
        IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences, 2015年
      • Foreword: Special section on VLSI design and CAD algorithms
        Yamada, A.; Higami, Y.; Takagi, K.; Amagasaki, M.; Ikeda, M.; Ishihara, T.; Ito, K.; Usami, K.; Okada, K.; Kajihara, S.; Kaneko, M.; Kawaguchi, H.; Kimura, S.; Kurokawa, A.; Shibata, Y.; Seto, K.; Song, T.; Takashima, Y.; Takahashi, A.; Takenaka, T.; Togawa, N.; Tomiyama, H.; Nakatake, S.; Nakamura, Y.; Hashimoto, M.; Hamaguchi, K.; Higuchi, H.; Hirose, T.; Fukuda, D.; Matsumoto, T.; Miura, Y.; Minato, S.-I.; Minami, F.; Yamashita, S.; Yuminaka, Y.; Yoshikawa, M.; Watanabe, T.
        IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences, 2014年
      • Exploring well-configurations for minimizing single event latchup
        Uemura, T.; Kato, T.; Tanabe, R.; Iwata, H.; Ariyoshi, J.; Matsuyama, H.; Hashimoto, M.
        IEEE Transactions on Nuclear Science, 2014年
      • Edge-over-erosion error prediction method based on multi-level machine learning algorithm
        Fukuda, D.; Watanabe, K.; Idani, N.; Kanazawa, Y.; Hashimoto, M.
        IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences, 2014年
      • Impact of NBTI-Induced Pulse-Width Modulation on SET Pulse-Width measurement
        Harada, R.; Mitsuyama, Y.; Hashimoto, M.; Onoye, T.
        IEEE Transactions on Nuclear Science, 2013年
      • Statistical timing analysis considering clock jitter and skew due to power supply noise and process variation
        Enami, T.; Ninomiya, S.; Shinkai, K.-I.; Abe, S.; Hashimoto, M.
        IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences, 2010年
      • Gate delay estimation in STA under dynamic power supply noise
        Okumura, T.; Minami, F.; Shimazaki, K.; Kuwada, K.; Hashimoto, M.
        IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences, 2010年
      • Accuracy enhancement of grid-based SSTA by coefficient interpolation
        Ninomiya, S.; Hashimoto, M.
        IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences, 2010年
      • Transistor sizing of LCD driver circuit for technology migration
        Hashimoto, M.; Ijichi, T.; Takahashi, S.; Tsukiyama, S.; Shirakawa, I.
        IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences, 2007年
      • A sampling switch design procedure for active matrix liquid crystal displays
        Takahashi, S.; Tsukiyama, S.; Hashimoto, M.; Shirakawa, I.
        IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences, 2006年
      • Special section on recent advances in circuits and systems - Part 1
        Akutsu, T.; Amagasa, T.; Arakawa, K.; Asano, A.; Fujita, S.; Fukumi, M.; Ge, Q.-W.; Hashimoto, M.; Ichige, K.; Isshiki, T.; Itoh, Y.; Kajikawa, Y.; Kaneda, K.; Kinjo, S.; Kiya, H.; Kohata, M.; Kondo, K.; Miyanaga, Y.; Murata, H.; Nakajo, H.; Nakanishi, I.; Nakashizuka, M.; Nakayama, K.; Nishikawa, K.; Nishimura, S.; Nishio, Y.; Ochi, H.; Ohno, S.; Saeki, M.; Taguchi, A.; Takafuji, D.; Takahashi, A.; Taoka, S.; Tsuji, T.; Ushio, T.; Xiao, Y.; Yamamoto, O.; Yamamoto, T.; Yoshinaga, T.; Hinamoto, T.; Watanabe, T.
        IEICE Transactions on Information and Systems, 2005年
      • Special section on recent advances in Circuits and Systems - Part 2
        Akutsu, T.; Amagasa, T.; Arakawa, K.; Asano, A.; Fujita, S.; Fukumi, M.; Ge, Q.-W.; Hashimoto, M.; Ichige, K.; Isshiki, T.; Itoh, Y.; Kajikawa, Y.; Kaneda, K.; Kinjo, S.; Kiya, H.; Kohata, M.; Kondo, K.; Miyanaga, Y.; Murata, H.; Nakajo, H.; Nakanishi, I.; Nakashizuka, M.; Nakayama, K.; Nishikawa, K.; Nishimura, S.; Nishio, Y.; Ochi, H.; Ohno, S.; Saeki, M.; Taguchi, A.; Takafuji, D.; Takahashi, A.; Taoka, S.; Tsuji, T.; Ushio, T.; Xiao, Y.; Yamamoto, O.; Yamamoto, T.; Yoshinaga, T.; Hinamoto, T.; Watanabe, T.
        IEICE Transactions on Information and Systems, 2005年
      • SET pulse-width measurement eliminating pulse-width modulation and within-die process variation effects
        Ryo Harada; Yukio Mitsuyama; Masanori Hashimoto; Takao Onoye
        IEEE International Reliability Physics Symposium Proceedings, 2012年
      • Implications of reliability enhancement achieved by fault avoidance on dynamically reconfigurable architectures
        Hiroaki Konoura; Yukio Mitsuyama; Masanori Hashimoto; Takao Onoye
        Proceedings - 21st International Conference on Field Programmable Logic and Applications, FPL 2011, 2011年
      • Adaptive performance compensation with on-chip variation monitoring
        Masanori Hashimoto; Hiroshi Fuketa
        Midwest Symposium on Circuits and Systems, 2011年
      • NBTI mitigation by giving random scan-in vectors during standby mode
        Toshihiro Kameda; Hiroaki Konoura; Yukio Mitsuyama; Masanori Hashimoto; Takao Onoye
        Lecture Notes in Computer Science (including subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics), 2011年
      • Feasibility evaluation on an instant invader detection system with ultrasonic sensors scattered on the ground
        Ami Iokibe; Masanori Hashimoto; Takao Onoye
        International Journal on Smart Sensing and Intelligent Systems, 2014年12月01日
      • Hardware Architecture for Fast General Object Detection using Aggregated Channel Features
        Koichi Mitsunari; Jaehoon Yu; Masanori Hashimoto
        2018 IEEE Asian Solid-State Circuits Conference, A-SSCC 2018 - Proceedings, 2018年12月14日
      • Feasibility evaluation on an instant invader detection system with ultrasonic sensors scattered on the ground
        Ami Iokibe; Masanori Hashimoto; Takao Onoye
        Proceedings of the International Conference on Sensing Technology, ICST, 2014年
      • Stochastic error rate estimation for adaptive speed control with field delay testing
        Shoichi Iizuka; Masafumi Mizuno; Dan Kuroda; Masanori Hashimoto; Takao Onoye
        IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD, 2013年
      • Impact of Irradiation Side on Muon-Induced Single-Event Upsets in 65-nm Bulk SRAMs
        Yifan Deng; Yukinobu Watanabe; Seiya Manabe; Wang Liao; Masanori Hashimoto; Shin-Ichiro Abe; Motonobu Tampo; Yasuhiro Miyake
        IEEE Transactions on Nuclear Science, 2024年04月
      • Reliability Exploration of System-on-Chip With Multi-Bit-Width Accelerator for Multi-Precision Deep Neural Networks
        Quan Cheng; Mingqiang Huang; Changhai Man; Ao Shen; Liuyao Dai; Hao Yu; Masanori Hashimoto
        IEEE Transactions on Circuits and Systems I: Regular Papers, 2023年10月, 査読有り
      • Avoiding Soft Error-Induced Illegal Memory Accesses in GPU with Inter-Thread Communication
        Riku Iwamoto; Masanori Hashimoto
        2023 IEEE 29th International Symposium on On-Line Testing and Robust System Design (IOLTS), 2023年07月03日, 査読有り
      • Bridging the Gap Between Reservoirs and Neural Networks
        Masanori Hashimoto; Ángel López García-Arias; Jaehoon Yu
        Photonic Neural Networks with Spatiotemporal Dynamics, 2023年10月17日
      • Negative and Positive Muon-Induced SEU Cross Sections in 28-nm and 65-nm Planar Bulk CMOS SRAMs
        Wang Liao; Masanori Hashimoto; Seiya Manabe; Yukinobu Watanabe; Shin Ichiro Abe; Keita Nakano; Hayato Takeshita; Motonobu Tampo; Soshi Takeshita; Yasuhiro Miyake
        IEEE International Reliability Physics Symposium Proceedings, 2019年05月22日
      • A Low-Power Sparse Convolutional Neural Network Accelerator With Pre-Encoding Radix-4 Booth Multiplier
        Quan Cheng; Liuyao Dai; Mingqiang Huang; Ao Shen; Wei Mao; Masanori Hashimoto; Hao Yu
        IEEE Transactions on Circuits and Systems II: Express Briefs, 2023年06月, 査読有り
      • A Terrestrial SER Estimation Methodology Based on Simulation Coupled With One-Time Neutron Irradiation Testing
        Shin-Ichiro Abe; Masanori Hashimoto; Wang Liao; Takashi Kato; Hiroaki Asai; Kenichi Shimbo; Hideya Matsuyama; Tatsuhiko Sato; Kazutoshi Kobayashi; Yukinobu Watanabe
        IEEE Transactions on Nuclear Science, 2023年08月, 査読有り
      • Toward Instant 3D Modeling: Highly Parallelizable Shape Reproduction Method for Soft Object Containing Numerous Tiny Position Trackers
        Minoru Harimaya; Ryo Shirai; Masanori Hashimoto
        28th International Conference on Intelligent User Interfaces, 2023年03月27日
      • Characterizing SEU Cross Sections of 12- and 28-nm SRAMs for 6.0, 8.0, and 14.8 MeV Neutrons
        Kazusa Takami; Yuibi Gomi; Shin-Ichiro Abe; Wang Liao; Seiya Manabe; Tetsuro Matsumoto; Masanori Hashimoto
        2023 IEEE International Reliability Physics Symposium (IRPS), 2023年03月, 査読有り
      • Study of Muon Capture Reaction on Si Via In-Beam Muon Activation
        R. Mizuno; M. Niikura; T; Y. Saito; S. Abe; H. Fukuda; M. Hashimoto; K. Ishida; N. Kawamura; S. Kawase; T. Matsuzaki; M. Oishi; P. Strasser; A. Sato; K. Shimomura; S. Takeshita; I. Umegaki
        Topical Workshops on Modern Aspects of Nuclear Structure, 2023年02月
      • Recurrent Residual Networks Contain Stronger Lottery Tickets
        Ángel López García-Arias; Yasuyuki Okoshi; Masanori Hashimoto; Masato Motomura; Jaehoon Yu
        IEEE Access, 2023年
      • Processor SER Estimation with ACE Bit Analysis
        Ting-Shuo Hsu; Dun-an Yang; Wang Liao; Masatoshi Itoh; Masanori Hashimoto; Jing-Jia Liou
        2021 21th European Conference on Radiation and Its Effects on Components and Systems (RADECS), 2021年09月
      • BYNQNet: Bayesian Neural Network with Quadratic Activations for Sampling-Free Uncertainty Estimation on FPGA.
        Hiromitsu Awano; Masanori Hashimoto
        2020 Design(DATE), 2020年
      • Measurement and mechanism investigation of negative and positive muon-induced upsets in 65-nm Bulk SRAMs
        Wang Liao; Masanori Hashimoto; Seiya Manabe; Yukinobu Watanabe; Shin Ichiro Abe; Keita Nakano; Hikaru Sato; Tadahiro Kin; Koji Hamada; Motonobu Tampo; Yasuhiro Miyake
        IEEE Transactions on Nuclear Science, 2018年08月
      • Measurement and Mechanism Investigation of Negative and Positive Muon-Induced Upsets in 65-nm Bulk SRAMs
        Wang Liao; Masanori Hashimoto; Seiya Manabe; Yukinobu Watanabe; Shin-Ichiro Abe; Keita Nakano; Hikaru Sato; Tadahiro Kin; Koji Hamada; Motonobu Tampo; Yasuhiro Miyake
        IEEE TRANSACTIONS ON NUCLEAR SCIENCE, 2018年08月
      • A Multicore Chip Load Model for PDN Analysis Considering Voltage-Current-Timing Interdependency and Operation Mode Transitions
        Jun Chen; Hajime Kando; Toshiki Kanamoto; Cheng Zhuo; Masanori Hashimoto
        IEEE TRANSACTIONS ON COMPONENTS PACKAGING AND MANUFACTURING TECHNOLOGY, 2019年09月
      • An On-Chip Load Model for Off-Chip PDN Analysis Considering Interdependency Between Supply Voltage, Current Profile and Clock Latency
        Jun Chen; Toshiki Kanamoto; Hajime Kando; Masanori Hashimoto
        2018 IEEE 22ND WORKSHOP ON SIGNAL AND POWER INTEGRITY (SPI), 2018年
      • Similarity analysis on neutron- A nd negative muon-induced MCUs in 65-nm bulk SRAM
        Wang Liao; Masanori Hashimoto; Seiya Manabe; Shin Ichiro Abe; Yukinobu Watanabe
        IEEE Transactions on Nuclear Science, 2019年07月
      • Alpha-Particle-Induced Soft Errors and Multiple Cell Upsets in 65-nm 10T Subthreshold SRAM
        Hiroshi Fuketa; Masanori Hashimoto; Yukio Mitsuyama; Takao Onoye
        2010 INTERNATIONAL RELIABILITY PHYSICS SYMPOSIUM, 2010年, 査読有り
      • Correlation verification between transistor variability model with body biasing and ring oscillation frequency in 90nm subthreshold circuits
        Hiroshi Fuketa; Masanori Hashimoto; Yukio Mitsuyama; Takao Onoye
        Proceedings of the International Symposium on Low Power Electronics and Design, 2008年, 査読有り
      • Implementing flexible reliability in a coarse-grained reconfigurable architecture
        Dawood Alnajjar; Hiroaki Konoura; Younghun Ko; Yukio Mitsuyama; Masanori Hashimoto; Takao Onoye
        IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 2013年, 査読有り
      • Impact of well edge proximity effect on timing
        Toshiki Kanamoto; Yasuhiro Ogasahara; Keiko Natsume; Kenji Yamaguchi; Hiroyuki Amishiro; Tetsuya Watanabe; Masanori Hashimoto
        ESSDERC 2007: PROCEEDINGS OF THE 37TH EUROPEAN SOLID-STATE DEVICE RESEARCH CONFERENCE, 2007年, 査読有り
      • 3D Node Localization from Node-to-Node Distance Information using Cross-Entropy Method
        Shohei Ukawa; Tatsuya Shinada; Masanori Hashimoto; Yuichi Itoh; Takao Onoye
        2015 IEEE VIRTUAL REALITY CONFERENCE (VR), 2015年, 査読有り
      • Measurement and Analysis of Alpha-Particle-Induced Soft Errors and Multiple-Cell Upsets in 10T Subthreshold SRAM
        Hiroshi Fuketa; Ryo Harada; Masanori Hashimoto; Takao Onoye
        IEEE TRANSACTIONS ON DEVICE AND MATERIALS RELIABILITY, 2014年03月, 査読有り
      • Mixed-Grained Reconfigurable Architecture Supporting Flexible Reliability and C-Based Design
        Hiroaki Konoura; Dawood Alnajjar; Yukio Mitsuyama; Hiroyuki Ochi; Takashi Imagawa; Shinichi Noda; Kazutoshi Wakabayashi; Masanori Hashimoto; Takao Onoye
        Proc. of 2013 International Conference on ReConFigurable Computing and FPGAs (ReConFig 2013), 2013年12月09日, 査読有り
      • A gate-delay model focusing on current fluctuation over wide range of process-voltage-temperature variations
        Ken-Ichi Shinkai; Masanori Hashimoto; Takao Onoye
        Integration, the VLSI Journal, 2013年09月, 査読有り
      • Real-time supply voltage sensor for detecting/Debugging electrical timing failures
        Miho Ueno; Masanori Hashimoto; Takao Onoye
        Proceedings - IEEE 27th International Parallel and Distributed Processing Symposium Workshops and PhD Forum, IPDPSW 2013, 2013年, 査読有り
      • A process and temperature tolerant oscillator-based true random number generator with dynamic 0/1 bias correction
        Takehiko Amaki; Masanori Hashimoto; Takao Onoye
        Proceedings of the 2013 IEEE Asian Solid-State Circuits Conference, A-SSCC 2013, 2013年, 査読有り
      • Feasibility Evaluation of Near-Field Communication in Clay with 1-mm(3) Antenna
        Jin Kono; Masanori Hashimoto; Takao Onoye
        2013 ASIA-PACIFIC MICROWAVE CONFERENCE PROCEEDINGS (APMC 2013), 2013年, 査読有り
      • Clock skew reduction by self-compensating manufacturing variability with on-chip sensors
        Shinya Abe; Ken-Ichi Shinkai; Masanori Hashimoto; Takao Onoye
        Proceedings of the ACM Great Lakes Symposium on VLSI, GLSVLSI, 2010年, 査読有り
      • Measurement of on-chip I/O power supply noise and correlation verification between noise magnitude and delay increase due to SSO
        Yasumichi Takai; Yasuhiro Ogasahara; Masanori Hashimoto; Takao Onoye
        2010 IEEE 14th Workshop on Signal Propagation on Interconnects, SPI 2010 - Proceedings, 2010年, 査読有り
      • Measurement Circuits for Acquiring SET Pulse Width Distribution with Sub-FO1-inverter-delay Resolution
        Ryo Harada; Yukio Mitsuyama; Masanori Hashimoto; Takao Onoye
        PROCEEDINGS OF THE ELEVENTH INTERNATIONAL SYMPOSIUM ON QUALITY ELECTRONIC DESIGN (ISQED 2010), 2010年, 査読有り
      • Soft Error Resilient VLSI Architecture for Signal Processing
        Dawood Alnajjar; Younghun Ko; Takashi Imagawa; Masayuki Hiromoto; Yukio Mitsuyama; Masanori Hashimoto; Hiroyuki Ochi; Takao Onoye
        Proc. of 2009 International Symposium on Intelligent Signal Processing and Communication Systems (ISPACS 2009), 2009年12月07日, 査読有り
      • COARSE-GRAINED DYNAMICALLY RECONFIGURABLE ARCHITECTURE WITH FLEXIBLE RELIABILITY
        Dawood Alnajjar; Younghun Ko; Takashi Imagawa; Hiroaki Konoura; Masayuki Hiromoto; Yukio Mitsuyama; Masanori Hashimoto; Hiroyuki Ochi; Takao Onoye
        FPL: 2009 INTERNATIONAL CONFERENCE ON FIELD PROGRAMMABLE LOGIC AND APPLICATIONS, 2009年, 査読有り
      • Future prediction of self-heating in short intra-block wires
        Kenichi Shinkai; Masanori Hashimoto; Takao Onoye
        ISQED 2007: PROCEEDINGS OF THE EIGHTH INTERNATIONAL SYMPOSIUM ON QUALITY ELECTRONIC DESIGN, 2007年, 査読有り
      • B2N2: Resource efficient Bayesian neural network accelerator using Bernoulli sampler on FPGA
        Hiromitsu Awano; Masanori Hashimoto
        Integration, 2023年03月, 査読有り
      • Via-switch FPGA with transistor-free programmability enabling energy-efficient near-memory parallel computation
        Masanori Hashimoto; Xu Bai; Naoki Banno; Munehiro Tada; Toshitsugu Sakamoto; Jaehoon Yu; Ryutaro Doi; Hidetoshi Onodera; Takashi Imagawa; Hiroyuki Ochi; Kazutoshi Wakabayashi; Yukio Mitsuyama; Tadahiko Sugibayashi
        Japanese Journal of Applied Physics, 2022年10月01日, 査読有り, 招待有り
      • Fault Mode Analysis of Neural Network-based Object Detection on GPUs with Neutron Irradiation Test
        Yangchao Zhang; Kojiro Ito; Hiroaki Itsuji; Takumi Uezono; Tadanobu Toba; Masanori Hashimoto
        2020 20th European Conference on Radiation and Its Effects on Components and Systems (RADECS), 2020年10月, 査読有り
      • DC Magnetic Field-Based Analytical Localization Robust to Known Stationary Magnetic Object
        Toshihisa Tanaka; Ryo Shirai; Masanori Hashimoto
        2022 IEEE 65th International Midwest Symposium on Circuits and Systems (MWSCAS), 2022年08月07日, 査読有り
      • Investigating Small Device Implementation of FRET-based Optical Reservoir Computing
        Masafumi Tanakal; Jaehoon Yu; Masaki Nakagawa; Naoya Tate; Masanori Hashimoto
        2022 IEEE 65th International Midwest Symposium on Circuits and Systems (MWSCAS), 2022年08月07日, 査読有り
      • A Hardware Efficient Reservoir Computing System Using Cellular Automata and Ensemble Bloom Filter
        Dehua LIANG; Jun SHIOMI; Noriyuki MIURA; Masanori HASHIMOTO; Hiromitsu AWANO
        IEICE Transactions on Information and Systems, 2022年07月01日, 査読有り
      • Shape-Flexible Underwater Display System with Wirelessly Powered and Controlled Smart LEDs
        Ryo Shirai; Masanori Hashimoto
        27th International Conference on Intelligent User Interfaces, 2022年03月22日, 査読有り
      • Estimating Vulnerability of All Model Parameters in DNN with a Small Number of Fault Injections
        Yangchao Zhang; Hiroaki Itsuji; Takumi Uezono; Tadanobu Toba; Masanori Hashimoto
        2022 Design, Automation & Test in Europe Conference & Exhibition (DATE), 2022年03月14日, 査読有り
      • Low-Power Design Methodology of Voltage Over-Scalable Circuit with Critical Path Isolation and Bit-Width Scaling
        Yutaka MASUDA; Jun NAGAYAMA; TaiYu CHENG; Tohru ISHIHARA; Yoichi MOMIYAMA; Masanori HASHIMOTO
        IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences, 2022年03月01日, 査読有り
      • Activation-Aware Slack Assignment Based Mode-Wise Voltage Scaling for Energy Minimization
        TaiYu CHENG; Yutaka MASUDA; Jun NAGAYAMA; Yoichi MOMIYAMA; Jun CHEN; Masanori HASHIMOTO
        IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences, 2022年03月01日, 査読有り
      • Vulnerability Estimation of DNN Model Parameters with Few Fault Injections
        Yangchao ZHANG; Hiroaki ITSUJI; Takumi UEZONO; Tadanobu TOBA; Masanori HASHIMOTO
        IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences, 2023年03月01日, 査読有り
      • VirtualSync+: Timing Optimization With Virtual Synchronization
        Grace Li Zhang; Bing Li; Xing Huang; Xunzhao Yin; Cheng Zhuo; Masanori Hashimoto; Ulf Schlichtmann
        IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2022年12月, 査読有り
      • Hidden-Fold Networks: Random Recurrent Residuals Using Sparse Supermasks
        A. Lopez; M. Hashimoto; M; Motomura,J. Yu
        Proceedings of British Machine Vision Conference (BMVC), 2021年11月, 査読有り
      • Mitigating Multi-Bit-Upset With Well-Slits in 28 nm Multi-Bit-Latch
        Taiki Uemura; Takashi Kato; Hideya Matsuyama; Masanori Hashimoto
        IEEE Transactions on Nuclear Science, 2013年12月
      • Soft-Error in SRAM at Ultra-Low Voltage and Impact of Secondary Proton in Terrestrial Environment
        Taiki Uemura; Takashi Kato; Hideya Matsuyama; Masanori Hashimoto
        IEEE Transactions on Nuclear Science, 2013年12月
      • Prediction of Self-Heating in Short Intra-Block Wires
        SHINKAI Ken-ichi; HASHIMOTO Masanori; ONOYE Takao
        IEICE transactions on fundamentals of electronics, communications and computer sciences, 2010年03月01日
      • Analytical Eye-Diagram Model for On-Chip Distortionless Transmission Lines and Its Application to Design Space Exploration
        HASHIMOTO Masanori; SIRIPORN Jangsombatsiri; TSUCHIYA Akira; ZHU Haikun; CHENG Chung-Kuan
        IEICE transactions on fundamentals of electronics, communications and computer sciences, 2008年12月01日
      • Impact of Well Edge Proximity Effect on Timing
        KANAMOTO Toshiki; OGASAHARA Yasuhiro; NATSUME Keiko; YAMAGUCHI Kenji; AMISHIRO Hiroyuki; WATANABE Tetsuya; HASHIMOTO Masanori
        IEICE transactions on fundamentals of electronics, communications and computer sciences, 2008年12月01日
      • Submarine LED: Wirelessly powered underwater display controlling its buoyancy
        Ryo Shirai; Masanori Hashimoto
        SIGGRAPH Asia 2021 Posters, 2021年12月14日, 査読有り
      • Impact of Neutron-Induced SEU in FPGA CRAM on Image-Based Lane Tracking for Autonomous Driving: From Bit Upset to SEFI and Erroneous Behavior
        Tomonari Tanaka; Wang Liao; Masanori Hashimoto; Yukio Mitsuyama
        IEEE Transactions on Nuclear Science, 2022年01月
      • Hardware Architecture for High-Speed Object Detection Using Decision Tree Ensemble.
        Koichi Mitsunari; Jaehoon Yu; Takao Onoye; Masanori Hashimoto
        IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2018年
      • An oscillator-based true random number generator with process and temperature tolerance.
        Takehiko Amaki; Masanori Hashimoto; Takao Onoye
        The 20th Asia and South Pacific Design Automation Conference(ASP-DAC), 2015年
      • PVT-induced timing error detection through replica circuits and time redundancy in reconfigurable devices
        Alnajjar Dawood; Mitsuyama Yukio; Hashimoto Masanori; Onoye Takao
        IEICE Electronics Express, 2013年
      • Analyzing DUE Errors on GPUs With Neutron Irradiation Test and Fault Injection to Control Flow
        Kojiro Ito; Yangchao Zhang; Hiroaki Itsuji; Takumi Uezono; Tadanobu Toba; Masanori Hashimoto
        IEEE Transactions on Nuclear Science, 2021年08月, 査読有り
      • Muon-Induced Single-Event Upsets in 20-nm SRAMs: Comparative Characterization With Neutrons and Alpha Particles
        Takashi Kato; Motonobu Tampo; Soshi Takeshita; Hiroki Tanaka; Hideya Matsuyama; Masanori Hashimoto; Yasuhiro Miyake
        IEEE Transactions on Nuclear Science, 2021年07月, 査読有り
      • Characterizing Energetic Dependence of Low-Energy Neutron-Induced SEU and MCU and Its Influence on Estimation of Terrestrial ser in 65-nm Bulk SRAM
        Liao, W.; Ito, K.; Abe, S.-I.; Mitsuyama, Y.; Hashimoto, M.
        IEEE Transactions on Nuclear Science, 2021年06月, 査読有り
      • Minimizing Energy of DNN Training with Adaptive Bit-Width and Voltage Scaling
        TaiYu Cheng; Masanori Hashimoto
        2021 IEEE International Symposium on Circuits and Systems (ISCAS), 2021年05月, 査読有り
      • Proactive Supply Noise Mitigation and Design Methodology for Robust VLSI Power Distribution
        Masanori Hashimoto; Jun Chen
        2021 China Semiconductor Technology International Conference (CSTIC), 2021年03月14日, 査読有り, 招待有り
      • MUX Granularity Oriented Iterative Technology Mapping for Implementing Compute-Intensive Applications on Via-Switch FPGA
        Takashi Imagawa; Jaehoon Yu; Masanori Hashimoto; Hiroyuki Ochi
        2021 Design, Automation & Test in Europe Conference & Exhibition (DATE), 2021年02月01日, 査読有り
      • BloomCA: A Memory Efficient Reservoir Computing Hardware Implementation Using Cellular Automata and Ensemble Bloom Filter
        Dehua Liang; Masanori Hashimoto; Hiromitsu Awano
        2021 Design, Automation & Test in Europe Conference & Exhibition (DATE), 2021年02月01日, 査読有り
      • Critical Path Isolation and Bit-Width Scaling Are Highly Compatible for Voltage Over-Scalable Design
        Yutaka Masuda; Jun Nagayama; TaiYu Cheng; Tohru Ishihara; Yoichi Momiyama; Masanori Hashimoto
        2021 Design, Automation & Test in Europe Conference & Exhibition (DATE), 2021年02月01日, 査読有り
      • Make it Trackable: An Instant Magnetic Tracking System With Coil-Free Tiny Trackers
        Ryo Shirai; Yuichi Itoh; Masanori Hashimoto
        IEEE Access, 2021年02月, 査読有り
      • Mode-wise Voltage-scalable Design with Activation-aware Slack Assignment for Energy Minimization
        TaiYu Cheng; Yukata Masuda; Jun Nagayama; Yoichi Momiyama; Jun Chen; Masanori Hashimoto
        Proceedings of the 26th Asia and South Pacific Design Automation Conference, 2021年01月18日, 査読有り
      • Via-Switch FPGA: 65-nm CMOS Implementation and Evaluation
        Bai, X.; Banno, N.; Miyamura, M.; Nebashi, R.; Okamoto, K.; Numata, H.; Iguchi, N.; Hashimoto, M.; Sugibayashi, T.; Sakamoto, T.; Tada, M.
        IEEE Journal of Solid-State Circuits, 2021年, 査読有り
      • A fault detection and diagnosis method for via-switch crossbar in non-volatile FPGA
        DOI, R.; BAI, X.; SAKAMOTO, T.; HASHIMOTO, M.
        IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences, 2020年12月01日, 査読有り
      • Muon-Induced Single-Event Upsets in 20-nm SRAMs: Comparative Characterization with Neutrons and Alpha-Particles
        T. Kato; M. Tampo; S. Takeshita; Y. Miyake; H; Tanaka; M. Hashimoto
        IEEE Nuclear and Space Radiation Effects Conference (NSREC), 2020年12月, 査読有り
      • A Frequency-Dependent Target Impedance Method Fulfilling Voltage Drop Constraints in Multiple Frequency Ranges
        Jun Chen; Masanori Hashimoto
        IEEE Transactions on Components, Packaging and Manufacturing Technology, 2020年11月, 査読有り
      • Concurrent Detection of Failures in GPU Control Logic for Reliable Parallel Computing
        Hiroaki Itsuji; Takumi Uezono; Tadanobu Toba; Kojiro Ito; Masanori Hashimoto
        2020 IEEE International Test Conference (ITC), 2020年11月01日, 査読有り
      • Proactive Supply Noise Mitigation with Low-Latency Minor Voltage Regulator and Lightweight Current Prediction
        J. Chen; M. Hashimoto
        Proceedings of International Test Conference (ITC), 2020年11月, 査読有り
      • Low-Cost Reservoir Computing Using Cellular Automata and Random Forests
        A. Lopez; J. Yu; M. Hashimoto
        Proceedings of IEEE International Symposium on Circuits and Systems (ISCAS), 2020年10月, 査読有り
      • Sneak Path Free Reconfiguration With Minimized Programming Steps for Via-Switch Crossbar-Based FPGA
        Ryutaro Doi; Jaehoon Yu; Masanori Hashimoto
        IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2020年10月, 査読有り
      • Logarithm-approximate floating-point multiplier is applicable to power-efficient neural network training
        Cheng, T.; Masuda, Y.; Chen, J.; Yu, J.; Hashimoto, M.
        Integration, 2020年09月, 査読有り
      • Position and Posture Estimation of Capsule Endoscopy with a Single Wearable Coil Toward Daily Life Diagnosis
        Ryohei Shimizu; Ryo Shirai; Masanori Hashimoto
        2020 IEEE 63rd International Midwest Symposium on Circuits and Systems (MWSCAS), 2020年08月, 査読有り
      • Variation-Tolerant Voltage Over-Scalable Design with Critical Path Isolation and Bit-Width Scaling
        Y. Masuda; J; Nagayama; T. Cheng; T. Ishihara; Y. Momiyama; M. Hashimoto
        International Workshop on Logic and Synthesis (IWLS), 2020年07月, 査読有り
      • Angular Sensitivity of Neutron-Induced Single-Event Upsets in 12-nm FinFET SRAMs With Comparison to 20-nm Planar SRAMs
        Takashi Kato; Masanori Hashimoto; Hideya Matsuyama
        IEEE Transactions on Nuclear Science, 2020年07月, 査読有り
      • Impact of the Angle of Incidence on Negative Muon-Induced SEU Cross Sections of 65-nm Bulk and FDSOI SRAMs
        Wang Liao; Masanori Hashimoto; Seiya Manabe; Yukinobu Watanabe; Shin-ichiro Abe; Motonobu Tampo; Soshi Takeshita; Yasuhiro Miyake
        IEEE Transactions on Nuclear Science, 2020年07月, 査読有り
      • Irradiation Test of 65-nm Bulk SRAMs With DC Muon Beam at RCNP-MuSIC Facility
        Takumi Mahara; Seiya Manabe; Yukinobu Watanabe; Wang Liao; Masanori Hashimoto; Takeshi Y. Saito; Megumi Niikura; Kazuhiko Ninomiya; Dai Tomono; Akira Sato
        IEEE Transactions on Nuclear Science, 2020年07月, 査読有り
      • 1.5x Energy-Efficient and 1.4x Operation-Speed Via-Switch FPGA with Rapid and Low-Cost ASIC Migration by Via-Switch Copy
        X. Bai; N. Banno; M. Miyamura; R. Nebashi; K. Okamoto; H. Numata; N. Iguchi; M; Hashimoto,T; Sugibayashi; T. Sakamoto; M. Tada
        Technical Digest of VLSI Symposium on Technology, 2020年06月, 査読有り
      • Characterizing Energetic Dependence of Low-Energy Neutron-induced MCUs in 65 nm bulk SRAMs
        Wang Liao; Kojiro Ito; Yukio Mitsuyama; Masanori Hashimoto
        2020 IEEE International Reliability Physics Symposium (IRPS), 2020年04月, 査読有り
      • Impact of Hydrided and Non-Hydrided Materials Near Transistors on Neutron-Induced Single Event Upsets
        Shin-ichiro Abe; Tatsuhiko Sato; Junya Kuroda; Seiya Manabe; Yukinobu Watanabe; Wang Liao; Kojiro Ito; Masanori Hashimoto; Masahide Harada; Kenichi Oikawa; Yasuhiro Miyake
        2020 IEEE International Reliability Physics Symposium (IRPS), 2020年04月, 査読有り
      • DC Magnetic Field Based 3D Localization With Single Anchor Coil
        Ryo Shirai; Masanori Hashimoto
        IEEE Sensors Journal, 2020年04月01日, 査読有り
      • Memory Efficient Training Using Lookup-Table-Based Quantization for Neural Network
        K. Onishi; J. Yu; M. Hashimoto
        Proceedings of International Conference on Artificial Intelligence Circuits and Systems (AICAS), 2020年03月, 査読有り
      • Fault Diagnosis of Via-Switch Crossbar in Non-Volatile FPGA
        R. Doi; X. Bai; T. Sakamoto; M. Hashimoto
        Proceedings of Design, Automation and Test in Europe Conference (DATE), 2020年03月, 査読有り
      • BYNQNet: Bayesian Neural Network with Quadratic Activations for Sampling-Free Uncertainty Estimation on FPGA
        H. Awano; M. Hashimoto
        Proceedings of Design, Automation and Test in Europe Conference (DATE), 2020年03月, 査読有り
      • 33.3 Via-Switch FPGA: 65nm CMOS Implementation and Architecture Extension for Al Applications
        Masanori Hashimoto; Xu Bai; Naoki Banno; Munehiro Tada; Toshitsugu Sakamoto; Jaehoon Yu; Ryutaro Doi; Yusuke Araki; Hidetoshi Onodera; Takashi Imagawa; Hirovuki Ochi; Kazutoshi Wakabayashi; Yukio Mitsuyama; Tadahiko Suuibayashi
        2020 IEEE International Solid- State Circuits Conference - (ISSCC), 2020年02月
      • Measurement of Single-Event Upsets in 65-nm SRAMs Under Irradiation of Spallation Neutrons at J-PARC MLF
        Junya Kuroda; Yasuhiro Miyake; Seiya Manabe; Yukinobu Watanabe; Kojiro Ito; Wang Liao; Masanori Hashimoto; Shin-ichiro Abe; Masahide Harada; Kenichi Oikawa
        IEEE Transactions on Nuclear Science, 2020年, 査読有り
      • Soft Error and Its Countermeasures in Terrestrial Environment
        Masanori Hashimoto; Wang Liao
        2020 25th Asia and South Pacific Design Automation Conference (ASP-DAC), 2020年01月, 査読有り, 招待有り
      • When Single Event Upset Meets Deep Neural Networks: Observations, Explorations, and Remedies
        Zheyu Yan; Yiyu Shi; Wang Liao; Masanori Hashimoto; Xichuan Zhou; Cheng Zhuo
        2020 25th Asia and South Pacific Design Automation Conference (ASP-DAC), 2020年01月, 査読有り
      • Distilling Knowledge for Non-Neural Networks
        S. Fukui; J. Yu; M. Hashimoto
        Proceedings of Asia-Pacific Signal and Information Processing Association (APSIPA) Annual Summit and Conference (ASC), 2019年11月, 査読有り
      • Training Data Reduction Using Support Vectors for Neural Networks
        T. Tanio; J. Yu; M. Hashimoto
        Proceedings of Asia-Pacific Signal and Information Processing Association (APSIPA) Annual Summit and Conference (ASC), 2019年11月, 査読有り
      • Characterizing SRAM and FF Soft Error Rates with Measurement and Simulation
        M. Hashimoto; K. Kobayashi; J. Furuta; S. Abe; Y. Watanabe
        Integration, the VLSI Journal, 2019年11月, 査読有り, 招待有り
      • A Design Space Exploration Method of SoC Architecture for CNN-based AI Platform
        S. Sombatsiri; J. Yu; M. Hashimoto; Y. Takeuchi
        Proceedings of Workshop on Synthesis and System Integration of Mixed Technologies (SASIMI), 2019年10月, 査読有り
      • Measurement of Single-Event Upsets in 65-nm Bulk SRAMs under Irradiation of Spallation Neutrons at J-PARC MLF
        J. Kuroda; S. Manabe; Y; Watanabe; K. Ito; W. Liao; M. Hashimoto; S. Abe; M. Harada; K. Oikawa; Y. Miyake
        Proceedings of European Conference on Radiation and Its Effects on Components and Systems (RADECS), 2019年09月, 査読有り
      • Impact of Incident Angle on Negative Muon-Induced SEU Cross Section of 65-nm Bulk SRAM
        W. Liao; M. Hashimoto; S. Manabe; Y; Watanabe; S. Abe; M. Tampo; S. Takeshita; Y. Miyake
        Proceedings of European Conference on Radiation and Its Effects on Components and Systems (RADECS), 2019年09月, 査読有り
      • Characterizing Neutron-Induced SDC Rate of Matrix Multiplication in Tesla P4 GPU
        K. Ito; W. Liao; M; Hashimoto; J. Kuroda; S. Manabe; Y; Watanabe; S. Abe; M. Harada; K. Oikawa; Y. Miyake
        Proceedings of European Conference on Radiation and Its Effects on Components and Systems (RADECS), 2019年09月, 査読有り
      • Irradiation Test of 65-nm Bulk SRAMs with DC Muon Beam at RCNP-MuSIC Facility
        T. Mahara; S. Manabe; Y; Watanabe; W. Liao; M; Hashimoto,T; Y. Saito; M. Niikura; K. Ninomiya; D. Tomono; A. Sato
        Proceedings of European Conference on Radiation and Its Effects on Components and Systems (RADECS), 2019年09月, 査読有り
      • A Multi-Core Chip Load Model for PDN Analysis Considering Voltage-Current-Timing Interdependency and Operation Mode Transitions
        J. Chen; H. Kando; T; Kanamoto,C. Zhuo; M. Hashimoto
        IEEE Transactions on Components, Packaging and Manufacturing Technology, 2019年09月, 査読有り
      • Low-Power Crossbar Switch With Two-Varistor Selected Complementary Atom Switch (2V-1CAS; Via-Switch) for Nonvolatile FPGA
        Naoki Banno; Koichiro Okamoto; Noriyuki Iguchi; Hiroyuki Ochi; Hidetoshi Onodera; Masanori Hashimoto; Tadahiko Sugibayashi; Toshitsugu Sakamoto; Munehiro Tada
        IEEE Transactions on Electron Devices, 2019年08月, 査読有り
      • Minimizing Power for Neural Network Training with Logarithm-Approximate Floating-Point Multiplier
        T.-Y. Cheng; J. Yu; M. Hashimoto
        Proceedings of International Symposium on Power and Timing Modeling, Optimization and Simulation (PATMOS), 2019年07月, 査読有り
      • Estimation of Muon-Induced SEU Rates for 65-nm Bulk and UTBB-SOI SRAMs
        Seiya Manabe; Yukinobu Watanabe; Wang Liao; Masanori Hashimoto; Shin-Ichiro Abe
        IEEE Transactions on Nuclear Science, 2019年07月, 査読有り
      • Similarity Analysis on Neutron- and Negative Moun-Induced MCUs in 65-nm Bulk SRAM
        W. Liao; M. Hashimoto; S. Manabe; S. Abe; Y. Watanabe
        IEEE Transactions on Nuclear Science, 2019年07月, 査読有り
      • MTTF-aware design methodology of adaptively voltage scaled circuit with timing error predictive flip-flop
        Masuda, Y.; Hashimoto, M.
        IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences, 2019年07月, 査読有り
      • Impact of Irradiation Side on Neutron-Induced Single-Event Upsets in 65-nm Bulk SRAMs
        Shinichiro Abe; Wang Liao; Seiya Manabe; Tatsuhiko Sato; Masanori Hashimoto; Yukinobu Watanabe
        IEEE Transactions on Nuclear Science, 2019年07月, 査読有り
      • Stochastic analysis on hold timing violation in ultra-low temperature circuits for functional test at room temperature
        Nakayama, T.; Hashimoto, M.
        IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences, 2019年07月, 査読有り
      • Characterization of Chalcogenide Selectors for Crossbar Switch Used in Nonvolatile FPGA
        H. Numata; N. Banno; K. Okamoto; N. Iguchi; H. Hada; M. Hashimoto; T. Sugibayashi; T. Sakamoto; M. Tada
        2019 Silicon Nanoelectronics Workshop (SNW), 2019年06月, 査読有り
      • A Frequency-Dependent Target Impedance Method Fulfilling both Average and Dynamic Voltage Drop Constraints
        J. Chen; M. Hashimoto
        Proceedings of IEEE Workshop on Signal and Power Integrity (SPI), 2019年06月, 査読有り
      • Activation-Aware Slack Assignment (ASA) for Mode-Wise Power Saving in High-End ISP
        J. Nagayama; Y. Masuda; M. Takeshige; Y. Ogawa; M. Hashimoto; Y. Momiyama
        2019年06月, 査読有り
      • Negative and Positive Muon-Induced SEU Cross Sections in 28-nm and 65-nm Planar Bulk CMOS SRAMs
        W. Liao; M. Hashimoto; S. Manabe; Y; Watanabe; S. Abe; M. Tampo; S. Takeshita; Y. Miyake
        Proceedings of International Reliability Physics Symposium (IRPS), 2019年04月, 査読有り
      • Analyzing Impacts of SRAM, FF and Combinational Circuit on Chip-Level Neutron-Induced Soft Error Rate
        Wang LIAO; Masanori HASHIMOTO
        IEICE Transactions on Electronics, 2019年04月01日, 査読有り
      • Coverage-scalable instant tabletop positioning system with self-localizable anchor nodes
        Pei Hao Chen; Ryo Shirai; Masanori Hashimoto
        Proceedings of the 24th International Conference on Intelligent User Interfaces: Companion, 2019年03月16日, 査読有り
      • FPGA を用いた動的電源ノイズ下でのエラー予告FF の動作検証
        西孝将; 増田豊; 橋本昌宜
        電子情報通信学会総合大会, 2019年03月
      • Via-Switch FPGA: Highly Dense Mixed-Grained Reconfigurable Architecture With Overlay Via-Switch Crossbars
        Hiroyuki Ochi; Kosei Yamaguchi; Tetsuaki Fujimoto; Junshi Hotate; Takashi Kishimoto; Toshiki Higashi; Takashi Imagawa; Ryutaro Doi; Munehiro Tada; Tadahiko Sugibayashi; Wataru Takahashi; Kazutoshi Wakabayashi; Hidetoshi Onodera; Yukio Mitsuyama; Jaehoon Yu; Masanori Hashimoto
        IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 2018年12月, 査読有り
      • Sensor Signal Processing Using High-Level Synthesis With a Layered Architecture
        Hiroki Hihara; Akira Iwasaki; Masanori Hashimoto; Hiroyuki Ochi; Yukio Mitsuyama; Hidetoshi Onodera; Hiroyuki Kanbara; Kazutoshi Wakabayashi; Tadahiko Sugibayashi; Takashi Takenaka; Hiromitsu Hada; Munehiro Tada; Makoto Miyamura; Toshitsugu Sakamoto
        IEEE Embedded Systems Letters, 2018年12月, 査読有り
      • Comparing Voltage Adaptation Performance between Replica and In-Situ Timing Monitors
        Y. Masuda; J. Nagayama; H. Takeno; Y. Ogawa; Y. Momiyama; M. Hashimoto
        Proceedings of ACM/IEEE International Conference on Computer-Aided Design (ICCAD), 2018年11月, 査読有り
      • Sneak Path Free Reconfiguration of Via-Switch Crossbars Based FPGA
        R. Doi; J. Yu; M. Hashimoto
        Proceedings of ACM/IEEE International Conference on Computer-Aided Design (ICCAD), 2018年11月, 査読有り
      • Activation-Aware Slack Assignment for Time-To-Failure Extension and Power Saving
        Y. Masuda; T. Onoye; M. Hashimoto
        IEEE Transactions on VLSI Systems, 2018年11月, 査読有り
      • Characterizing Soft Error Rates of 65-nm SOTB and Bulk SRAMs with Muon and Neutron Beams
        M. Hashimoto; W. Liao; S. Manabe; Y. Watanabe
        Proceedings of SOI-3D-Subthreshold Microelectronics Technology Unified Conference (S3S), 2018年10月, 招待有り
      • Impact of Irradiation Side on Neutron-Induced Single Event Upsets in 65-nm Bulk SRAMs
        S. Abe; W. Liao; S. Manabe; T. Sato; M.Hashimoto; Y. Watanabe
        Proceedings of European Conference on Radiation and Its Effects on Components and Systems (RADECS), 2018年09月, 査読有り
      • Similarity Analysis on Neutron- and Negative Moun-Induced MCUs in 65-nm Bulk SRAM
        W. Liao; M. Hashimoto; S. Manabe; S. Abe; Y. Watanabe
        Proceedings of European Conference on Radiation and Its Effects on Components and Systems (RADECS), 2018年09月, 査読有り
      • Estimation of Muon-Induced SEU Rates for 65-nm Bulk and UTBB-SOI SRAMs
        S. Manabe; Y; Watanabe; W. Liao; M. Hashimoto; S. Abe
        Proceedings of European Conference on Radiation and Its Effects on Components and Systems (RADECS), 2018年09月, 査読有り
      • Measurement and Mechanism Investigation of Negative and Positive Muon-Induced Upsets in 65nm Bulk SRAMs
        W. Liao; M. Hashimoto; S. Manabe; Y. Watanabe; K. Nakano; H. Sato; T. Kin; K. Hamada; M. Tampo; Y. Miyake
        IEEE Transactions on Nuclear Science, 2018年09月, 査読有り
      • Negative and Positive Muon-Induced Single Event Upsets in 65-nm UTBB SOI SRAMs
        S. Manabe; Y. Watanabe; W. Liao; M. Hashimoto; K. Nakano; H. Sato; T. Kin; S. Abe; K. Hamada; M. Tampo; Y. Miyake
        IEEE Transactions on Nuclear Science, 2018年09月, 査読有り
      • FOWLPを用いたLSIにおける再配線層上キャパシタ及びオンチップ容量の最適化
        金本俊幾; 葛西孝己; 今井雅; 黒川敦; 橋本昌宜; 陳俊; 神藤始
        情報処理学会DAシンポジウム, 2018年08月
      • エラー予告FFとレプリカの電圧マージン制御性能の定量的比較
        増田豊; 長山準; 武野紘宜; 小川芳正; 籾山陽一; 橋本昌宜
        情報処理学会DAシンポジウム, 2018年08月
      • ビアスイッチFPGA再構成時のスニークパス問題を回避するプログラミング順決定手法
        土井龍太郎; 劉載勲; 橋本昌宜
        情報処理学会DAシンポジウム, 2018年08月
      • Adapting Soft Cascsde to Mac Operations of Convolutional Neural Networks
        K. Itoh; J. Yu; M. Hashimoto
        Proceedings of International Symposium on Multimedia and Communication Technology (ISMAC), 2018年08月, 査読有り
      • Negative and Positive Muon-Induced Single Event Upsets in 65-nm UTBB SOI SRAMs
        Seiya Manabe; Yukinobu Watanabe; Wang Liao; Masanori Hashimoto; Keita Nakano; Hikaru Sato; Tadahiro Kin; Shin Ichiro Abe; Koji Hamada; Motonobu Tampo; Yasuhiro Miyake
        IEEE Transactions on Nuclear Science, 2018年08月, 査読有り
      • Interconnect Delay Analysis for RRAM Crossbar Based FPGA
        M. Hashimoto; Y. Nakazawa; R. Doi; J. Yu
        Proceedings of IEEE Computer Society Annual Symposium on VLSI (ISVLSI), 2018年07月, 査読有り, 招待有り
      • SAT Encoding-Based Verification of Sneak Path Problem in Via-Switch FPGA
        R. Doi; M. Hashimoto
        Proceedings of IEEE Computer Society Annual Symposium on VLSI (ISVLSI), 2018年07月, 査読有り
      • A Multifunctional Sensor Node Sharing Coils in Wireless Power Supply, Wireless Communication and Distance Sensing Modes
        R. Shirai; T. Hirose; M. Hashimoto
        Proceedings of International NEWCAS Conference, 2018年06月, 査読有り
      • VirtualSync: Timing Optimization by Synchronizing Logic Waves with Sequential and Combinational Components as Delay Units
        L. Zhang; B. Li; M. Hashimoto; U. Schlichtmann
        Proceedings of Design Automation Conference (DAC), 2018年06月, 査読有り
      • MTTF-aware design methodology for adaptive voltage scaling
        Masanori Hashimoto; Yutaka Masuda
        China Semiconductor Technology International Conference 2018, CSTIC 2018, 2018年05月29日, 査読有り, 招待有り
      • Near-future traffic evaluation based navigation for automated driving vehicles considering traffic uncertainties
        Kuen-Wey Lin; Masanori Hashimoto; Yih-Lang Li
        Proceedings - International Symposium on Quality Electronic Design, ISQED, 2018年05月09日, 査読有り
      • An On-Chip Load Model for Off-Chip Pdn Analysis Considering Interdependency between Supply Voltage, Current Profile and Clock Latency
        J. Chen; T; Kanamoto; H. Kando; M. Hashimoto
        Proceedings of IEEE Workshop on Signal and Power Integrity (SPI), 2018年05月, 査読有り
      • Hold Violation Analysis for Functional Test of Ultra-Low Temperature Circuits at Room Temperature
        T. Nakayama; M. Hashimoto
        Proceedings of International Symposium on VLSI Design, Automation and Test (VLSI-DAT), 2018年04月, 査読有り
      • 過電圧スケーリングを用いた不正確計算による消費電力削減の検討
        佐藤雅紘; 増田豊; 橋本昌宜
        電子情報通信学会VLSI設計技術研究会, 2018年03月
      • ビアスイッチFPGA向け配線遅延解析手法の検討
        中澤祐希; 土井龍太郎; 劉載勲; 橋本昌宜
        電子情報通信学会 VLSI設計技術研究会, 2018年03月
      • MTTF-aware design methodology of error prediction based adaptively voltage-scaled circuits
        Yutaka Masuda; Masanori Hashimoto
        Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC, 2018年02月20日, 査読有り
      • 容量素子最適化のためのLSI・パッケージ・ボード電源網解析モデルの構築
        葛西孝己; 神藤始; 陳俊; 橋本昌宜; 今井雅; 黒川敦; 金本俊幾
        情報処理学会 東北支部研究会, 2018年02月
      • From process variations to reliability: A survey of timing of digital circuits in the nanometer era
        Bing Li; Masanori Hashimoto; Ulf Schlichtmann
        IPSJ Transactions on System LSI Design Methodology, 2018年02月01日, 査読有り, 招待有り
      • An analytic evaluation on soft error immunity enhancement due to temporal triplication
        Ryutaro Doi; Masanori Hashimoto; Takao Onoye
        International Journal of Embedded Systems, 2018年, 査読有り
      • A Multifunctional Sensor Node Sharing Coils in Wireless Power Supply, Wireless Communication and Distance Sensing Modes
        Ryo Shirai; Tetsuya Hirose; Masanori Hashimoto
        2018 16TH IEEE INTERNATIONAL NEW CIRCUITS AND SYSTEMS CONFERENCE (NEWCAS), 2018年, 査読有り
      • Dedicated antenna less power efficient OOK transmitter for mm-cubic IoT nodes
        Ryo Shirai; Tetsuya Hirose; Masanori Hashimoto
        European Microwave Week 2017: "A Prime Year for a Prime Event", EuMW 2017 - Conference Proceedings; 47th European Microwave Conference, EuMC 2017, 2017年12月19日, 査読有り
      • IoTノード向けアンテナ組込型小体積高効率トランスミッタの開発
        白井僚; 廣瀬哲也; 橋本昌宜
        電子情報通信学会 集積回路研究会, 2017年12月, 査読有り
      • 近傍界磁界通信・電界測距共用mm3級アンテナの実装と評価
        白井僚; 河野仁; 廣瀬哲也; 橋本昌宜
        電子情報通信学会 回路とシステム研究会, 2017年12月
      • 高エネルギー効率コンピューティングを実現するビアスイッチFPGA の開発
        橋本昌宜
        電気関連学会関西連合大会, 2017年11月, 招待有り
      • Multiple sensitive volume based soft error rate estimation with machine learning
        Soichi Hirokawa; Ryo Harada; Kenshiro Sakuta; Yukinobu Watanabe; Masanori Hashimoto
        Proceedings of the European Conference on Radiation and its Effects on Components and Systems, RADECS, 2017年10月31日, 査読有り
      • Soft error rate estimation with TCAD and machine learning
        Masanori Hashimoto; Wang Liao; Soichi Hirokawa
        International Conference on Simulation of Semiconductor Processes and Devices, SISPAD, 2017年10月25日, 招待有り
      • Momentum and Supply Voltage Dependencies of SEUs Induced by Low-energy Negative and Positive Muons in 65-nm UTBB-SOI SRAMs
        S. Manabe; Y; Watanabe; W. Liao; M. Hashimoto; K. Nakano; H. Sato; T. Kin; K. Hamada; M. Tampo; Y. Miyake
        Proceedings of European Conference on Radiation and Its Effects on Components and Systems (RADECS), 2017年10月, 査読有り
      • Measurement and Mechanism Investigation of Negative and Positive Muon Induced Upsets in 65nm Bulk SRAMs
        W. Liao; M. Hashimoto; S. Manabe; Y. Watanabe; K; Nakano; H. Sato; T. Kin; K. Hamada; M. Tampo; Y. Miyake
        Proceedings of European Conference on Radiation and Its Effects on Components and Systems (RADECS), 2017年10月, 査読有り
      • Near-field dual-use antenna for magnetic-field based communication and electrical-field based distance sensing in mm3-class sensor node
        Ryo Shirai; Jin Kono; Tetsuya Hirose; Masanori Hashimoto
        Proceedings - IEEE International Symposium on Circuits and Systems, 2017年09月25日, 査読有り
      • Contributions of SRAM, FF and combinational circuit to chip-level neutron-induced soft error rate: - Bulk vs. FD-SOI at 0.5 and 1.0V - Bulk v
        Liao Wang; Soichi Hirokawa; Ryo Harada; Masanori Hashimoto
        Proceedings - 2017 IEEE 15th International New Circuits and Systems Conference, NEWCAS 2017, 2017年08月11日, 査読有り
      • 常温で論理テスト可能な超低温動作VLSIのタイミング設計法の検討
        中山貴博; 橋本昌宜
        情報処理学会DAシンポジウム, 2017年08月
      • エラー予告ベース適応的電圧制御のMTTF考慮設計手法
        増田豊; 橋本昌宜
        情報処理学会DAシンポジウム, 2017年08月
      • 容量配置最適化に向けた15nm世代LSI・パッケージ・ボード電源網解析モデルの構築
        金本俊幾; 葛西孝己; 今井雅; 黒川敦; 橋本昌宜; 陳俊; 神藤始
        情報処理学会DAシンポジウム, 2017年08月
      • ビアスイッチFPGAにおけるスニークパス問題のSAT符号化を用いた検証
        土井龍太郎; 橋本 昌宜
        情報処理学会DAシンポジウム, 2017年08月
      • Near-future traffic evaluation based navigation for automated driving vehicles
        Kuen-Wey Lin; Yih-Lang Li; Masanori Hashimoto
        IEEE Intelligent Vehicles Symposium, Proceedings, 2017年07月28日, 査読有り
      • Toward real-time 3D modeling system with cubic-millimeters wireless sensor nodes
        Masanori Hashimoto; Ryo Shirai; Yuichi Itoh; Tetsuya Hirose
        Proceedings of International Conference on ASIC, 2017年07月01日, 査読有り
      • Performance Evaluation of Software-Based Error Detection Mechanisms for Supply Noise Induced Timing Errors
        Yutaka Masuda; Takao Onoye; Masanori Hashimoto
        IEICE TRANSACTIONS ON FUNDAMENTALS OF ELECTRONICS COMMUNICATIONS AND COMPUTER SCIENCES, 2017年07月, 査読有り
      • Minimizing detection-to-boosting latency toward low-power error-resilient circuits
        Chih-Cheng Hsu; Masanori Hashimoto; Mark Po-Hung Lin
        INTEGRATION-THE VLSI JOURNAL, 2017年06月, 査読有り
      • GPGPU-based Highly Parallelized 3D Node Localization for Real-Time 3D Model Reproduction
        K. Hirosue; S. Ukawa; Y. Itoh; T. Onoye; M. Hashimoto
        Proceedings of International Conference on Intelligent User Interfaces (IUI), 2017年03月, 査読有り
      • 低電力FPGAを実現するビアスイッチ技術を用いた大規模クロスバースイッチの実証
        伴野直樹; 多田宗弘; 岡本浩一郎; 井口憲幸; 阪本利司; 波田博光; 越智裕之; 小野寺秀俊; 橋本昌宜; 杉林直彦
        電子情報通信学会シリコン材料・デバイス研究会, 2017年02月, 招待有り
      • 50×20 crossbar switch block (CSB) with two-varistors (a-Si/SiN/a-Si) selected complementary atom switch for a highly-dense reconfigurable logic
        N. Banno; M. Tilda; K. Okamoto; N. Iguchi; T. Sakamoto; H. Hada; H. Ochi; H. Onodera; M. Hashimoto; T. Sugibayashi
        Technical Digest - International Electron Devices Meeting, IEDM, 2017年01月31日, 査読有り
      • 容量素子最適化のためのLSI・パッケージ・ボード電源網解析モデルの構築
        葛西 孝己; 今井 雅; 黒川 敦; 金本 俊幾; 陳 俊; 橋本 昌宜; 神藤 始
        電気関係学会東北支部連合大会講演論文集, 2017年
      • Near-Field Dual-Use Antenna for Magnetic-Field based Communication and Electrical-Field based Distance Sensing in mm(3)-Class Sensor Node
        Ryo Shirai; Jin Kono; Tetsuya Hirose; Masanori Hashimoto
        2017 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS (ISCAS), 2017年, 査読有り
      • Impedance Matching in Magnetic-Coupling-Resonance Wireless Power Transfer for Small Implantable Devices
        Sota Masuda; Tetsuya Hirose; Yuki Akihara; Nobutaka Kuroki; Masahiro Numa; Masanori Hashimoto
        2017 IEEE WIRELESS POWER TRANSFER CONFERENCE (WPTC 2017), 2017年, 査読有り
      • Critical Path Isolation for Time-to-Failure Extension and Lower Voltage Operation
        Y. Masuda; M. Hashimoto; T. Onoye
        Proceedings of International Conference on Computer-Aided Design (ICCAD), 2016年11月, 査読有り
      • Hardware-simulation correlation of timing error detection performance of software-based error detection mechanisms
        Yutaka Masuda; Masanori Hashimoto; Takao Onoye
        2016 IEEE 22nd International Symposium on On-Line Testing and Robust System Design, IOLTS 2016, 2016年10月20日, 査読有り
      • 確率的回路寿命予測手法の計算安定性と確率取り扱いの妥当性に関する考察
        佐藤雅紘; 増田豊; 飯塚翔一; 尾上孝雄; 橋本昌宜
        2016年09月
      • 低電圧・長寿命動作に向けたクリティカルパス・アイソレーション手法
        増田豊; 尾上孝雄; 橋本昌宜
        2016年09月
      • A Highly-dense Mixed Grained Reconfigurable Architecture with Overlay Crossbar Interconnect using Via-switch
        J. Hotate; T. Kishimoto; T. Higashi; H. Ochi; R. Doi; M. Tada; T. Sugibayashi; K. Wakabayashi; H. Onodera; Y. Mitsuyama; M. Hashimoto
        Proceedings of International Conference on Field Programmable Logic and Applications (FPL), 2016年09月, 査読有り
      • Multiple Sensitive Volume Based Soft Error Rate Estimation with Machine Learning
        S. Hirokawa; R. Harada; K. Sakuta; Y. Watanabe; M. Hashimoto
        Proceedings of European Conference on Radiation and Its Effects on Components and Systems (RADECS), 2016年09月, 査読有り
      • 超低電圧SRAMのソフトエラー耐性
        橋本昌宜
        2016年08月, 招待有り
      • Novel processor architecture for onboard infrared sensors
        H. Hihara; A. Iwasaki; N. Tamagawa; M. Kuribayashi; M. Hashimoto; Y. Mitsuyama; H. Ochi; H. Onodera; H. Kanbara; K. Wakabayashi; T. Sugibayashi
        Proceedings of SPIE Infrared Remote Sensing and Instrumentation XXIV, 2016年08月, 招待有り
      • Latch clustering for minimizing detection-to-boosting latency toward low-power resilient circuits
        Chih-Cheng Hsu; Mark Po-Hung Lint; Masanori Hashimoto
        Proceedings of the 18th ACM/IEEE System Level Interconnect Prediction 2016 Workshop, SLIP 2016, 2016年06月04日, 査読有り
      • Latch Clustering for Minimizing Detection-to-Boosting Latency Toward Low-Power Resilient Circuits
        C.-C. Hsu; M; P.-H. Lin; M. Hashimoto
        Proceedings of System Level Interconnect Prediction (SLIP) Workshop, 2016年06月, 査読有り
      • Critical Path Isolation for Time-to-Failure Extension and Lower Voltage Operation
        Yutaka Masuda; Masanori Hashimoto; Takao Onoye
        2016 IEEE/ACM INTERNATIONAL CONFERENCE ON COMPUTER-AIDED DESIGN (ICCAD), 2016年, 査読有り
      • Hardware-Simulation Correlation of Timing Error Detection Performance of Software-based Error Detection Mechanisms
        Yutaka Masuda; Masanori Hashimoto; Takao Onoye
        2016 IEEE 22ND INTERNATIONAL SYMPOSIUM ON ON-LINE TESTING AND ROBUST SYSTEM DESIGN (IOLTS), 2016年, 査読有り
      • 50x20 Crossbar Switch Block (CSB) with Two-Varistors (a-Si/SiN/a-Si) selected Complementary Atom Switch for a highly-dense Reconfigurable Logic
        N. Banno; M. Tada; K. Okamoto; N. Iguchi; T. Sakamoto; H. Hada; H. Ochi; H. Onodera; M. Hashimoto; T. Sugibayashi
        2016 IEEE INTERNATIONAL ELECTRON DEVICES MEETING (IEDM), 2016年, 査読有り
      • Highly-Efficient Power Transmitter Coil Design for Small Wireless Sensor Nodes
        Souta Masuda; Tetsuya Hirose; Yuki Akihara; Nobutaka Kuroki; Masahiro Numa; Masanori Hashimoto
        2016 INTERNATIONAL SYMPOSIUM ON ANTENNAS AND PROPAGATION (ISAP), 2016年, 査読有り
      • Analytical Study of Rectifier Circuit for Wireless Power Transfer Systems
        Yuki Akihara; Tetsuya Hirose; Sota Masuda; Nobutaka Kuroki; Masahiro Numa; Masanori Hashimoto
        2016 INTERNATIONAL SYMPOSIUM ON ANTENNAS AND PROPAGATION (ISAP), 2016年, 査読有り
      • A Highly-dense Mixed Grained Reconfigurable Architecture with Overlay Crossbar Interconnect using Via-switch
        Junshi Hotate; Takashi Kishimoto; Toshiki Higashi; Hiroyuki Ochi; Ryutaro Doi; Munehiro Tada; Tadahiko Sugibayashi; Kazutoshi Wakabayashi; Hidetoshi Onodera; Yukio Mitsuyama; Masanori Hashimoto
        2016 26TH INTERNATIONAL CONFERENCE ON FIELD PROGRAMMABLE LOGIC AND APPLICATIONS (FPL), 2016年, 査読有り
      • Novel processor architecture for onboard infrared sensors
        Hiroki Hihara; Akira Iwasaki; Nobuo Tamagawa; Mitsunobu Kuribayashi; Masanori Hashimoto; Yukio Mitsuyama; Hiroyuki Ochi; Hidetoshi Onodera; Hiroyuki Kanbara; Kazutoshi Wakabayashi; Munehiro Tada
        INFRARED REMOTE SENSING AND INSTRUMENTATION XXIV, 2016年, 招待有り
      • Device-Parameter Estimation with Sensitivity-Configurable Ring Oscillator
        Shoichi Iizuka; Yuma Higuchi; Masanori Hashimoto; Takao Onoye
        IEICE TRANSACTIONS ON FUNDAMENTALS OF ELECTRONICS COMMUNICATIONS AND COMPUTER SCIENCES, 2015年12月, 査読有り
      • Proximity distance estimation based on electric field communication between 1 mm(3) sensor nodes
        Tatsuya Shinada; Masanori Hashimoto; Takao Onoye
        ANALOG INTEGRATED CIRCUITS AND SIGNAL PROCESSING, 2015年12月, 査読有り
      • A Novel Two-Varistors (a-Si/SiN/a-Si) selected Complementary Atom Switch (2V-1CAS) for Nonvolatile Crossbar Switch with Multiple Fan-outs
        N. Banno; M.Tada; K. Okamoto; N. Iguchi; T. Sakamoto; M. Miyamura; Y. Tsuji; H. Hada; H. Ochi; H. Onodera; M. Hashimoto; T. Sugibayashi
        2015 International Electron Devices Meeting, 2015年12月, 査読有り
      • A wireless power transfer system for small-sized sensor applications
        AKIHARA Yuki; HIROSE Tetsuya; TANAKA Yuki; KUROKI Nobutaka; NUMA Masahiro; HASHIMOTO Masanori
        Extended abstract of the 2015 international conference on solid state devices and materials, 2015年09月, 査読有り
      • 電源ノイズ起因タイミング故障のデバッグにおけるC 言語ベース故障検出 手法の有効性評価
        増田豊; 橋本昌宜; 尾上孝雄
        情報処理学会DA シンポジウム2015論文集, 2015年08月
      • 小型センサデバイスに向けた無線給電システムの設計
        秋原 優樹; 廣瀬 哲也; 田中 勇気; 黒木 修隆; 沼 昌宏; 橋本 昌宜
        第28回 回路とシステムワークショップ, 2015年08月, 査読有り
      • Characterizing Alpha- and Neutron-Induced SEU and MCU on SOTB and Bulk 0.4-V SRAMs
        Soichi Hirokawa; Ryo Harada; Masanori Hashimoto; Takao Onoye
        IEEE TRANSACTIONS ON NUCLEAR SCIENCE, 2015年04月, 査読有り
      • Area Efficient Device-Parameter Estimation using Sensitivity-Configurable Ring Oscillator
        Shoichi Iizuka; Yuma Higuchi; Masanori Hashimoto; Takao Onoye
        2015 20TH ASIA AND SOUTH PACIFIC DESIGN AUTOMATION CONFERENCE (ASP-DAC), 2015年, 査読有り
      • Real-time On-chip Supply Voltage Sensor and Its Application to Trace-based Timing Error Localization
        Miho Ueno; Masanori Hashimoto; Takao Onoye
        2015 IEEE 21ST INTERNATIONAL ON-LINE TESTING SYMPOSIUM (IOLTS), 2015年, 査読有り
      • Stochastic Timing Error Rate Estimation under Process and Temporal Variations
        Shoichi Iizuka; Yutaka Masuda; Masanori Hashimoto; Takao Onoye
        2015 IEEE INTERNATIONAL TEST CONFERENCE (ITC), 2015年, 査読有り
      • Performance Evaluation of Software-based Error Detection Mechanisms for Localizing Electrical Timing Failures under Dynamic Supply Noise
        Yutaka Masuda; Masanori Hashimoto; Takao Onoye
        2015 IEEE/ACM INTERNATIONAL CONFERENCE ON COMPUTER-AIDED DESIGN (ICCAD), 2015年, 査読有り
      • Reliability-configurable mixed-grained reconfigurable array compatible with high-level synthesis
        Masanori Hashimoto; Dawood Alnajjar; Hiroaki Konoura; Yukio Mitsuyama; Hajime Shimada; Kazutoshi Kobayashi; Hiroyuki Kanbara; Hiroyuki Ochi; Takashi Imagawa; Kazutoshi Wakabayashi; Takao Onoye; Hidetoshi Onodera
        The 20th Asia South-Pacific Design Automation Conference, 2015年01月, 査読有り
      • A Process and Temperature Tolerant Oscillator-Based True Random Number Generator
        Takehiko Amaki; Masanori Hashimoto; Takao Onoye
        IEICE TRANSACTIONS ON FUNDAMENTALS OF ELECTRONICS COMMUNICATIONS AND COMPUTER SCIENCES, 2014年12月, 査読有り
      • Reliability-Configurable Mixed-Grained Reconfigurable Array Supporting C-Based Design and Its Irradiation Testing
        Hiroaki Konoura; Dawood Alnajjar; Yukio Mitsuyama; Hajime Shimada; Kazutoshi Kobayashi; Hiroyuki Kanbara; Hiroyuki Ochi; Takashi Imagawa; Kazutoshi Wakabayashi; Masanori Hashimoto; Takao Onoye; Hidetoshi Onodera
        IEICE TRANSACTIONS ON FUNDAMENTALS OF ELECTRONICS COMMUNICATIONS AND COMPUTER SCIENCES, 2014年12月, 査読有り
      • 電源ノイズ起因電気的故障を対象としたソフトウェアベース高速エラー検 出手法の性能評価
        増田豊; 橋本昌宜; 尾上孝雄
        情報処理学会DA シンポジウム2014論文集, 2014年08月
      • NBTI Mitigation Method by Inputting Random Scan-In Vectors in Standby Time
        Hiroaki Konoura; Toshihiro Kameda; Yukio Mitsuyama; Masanori Hashimoto; Takao Onoye
        IEICE TRANSACTIONS ON FUNDAMENTALS OF ELECTRONICS COMMUNICATIONS AND COMPUTER SCIENCES, 2014年07月, 査読有り
      • SET Pulse-Width Measurement Suppressing Pulse-Width Modulation and Within-Die Process Variation Effects
        Ryo Harada; Yukio Mitsuyama; Masanori Hashimoto; Takao Onoye
        IEICE TRANSACTIONS ON FUNDAMENTALS OF ELECTRONICS COMMUNICATIONS AND COMPUTER SCIENCES, 2014年07月, 査読有り
      • Comparative Evaluation of Lifetime Enhancement with Fault Avoidance on Dynamically Reconfigurable Devices
        Hiroaki Konoura; Takashi Imagawa; Yukio Mitsuyama; Masanori Hashimoto; Takao Onoye
        IEICE TRANSACTIONS ON FUNDAMENTALS OF ELECTRONICS COMMUNICATIONS AND COMPUTER SCIENCES, 2014年07月, 査読有り
      • 経時劣化概説(招待)
        佐藤高史; 橋本 昌宜
        日本信頼性学会誌, 2013年12月, 査読有り, 招待有り
      • Reliability-configurable mixed-grained reconfigurable array supporting C-to-array mapping and its radiation testing
        D. Alnajjar; H. Konoura; Y. Mitsuyama; H. Shimada; K. Kobayashi; H. Kanbara; H. Ochi; T. Imagawa; S. Noda; K. Wakabayashi; M. Hashimoto; T. Onoye; H. Onodera
        Proceedings of the 2013 IEEE Asian Solid-State Circuits Conference, A-SSCC 2013, 2013年11月, 査読有り
      • Field Slack Assessment for Predictive Fault Avoidance on Coarse-Grained Reconfigurable Devices
        Toshihiro Kameda; Hiroaki Konoura; Dawood Alnajjar; Yukio Mitsuyama; Masanori Hashimoto; Takao Onoye
        IEICE TRANSACTIONS ON INFORMATION AND SYSTEMS, 2013年08月, 査読有り
      • A Worst-Case-Aware Design Methodology for Noise-Tolerant Oscillator-Based True Random Number Generator With Stochastic Behavior Modeling
        Takehiko Amaki; Masanori Hashimoto; Yukio Mitsuyama; Takao Onoye
        IEEE TRANSACTIONS ON INFORMATION FORENSICS AND SECURITY, 2013年08月, 査読有り
      • 動作合成に対応した信頼性可変混合粒度再構成可能アーキテクチャの検討
        郡浦 宏明; 今川 隆司; 密山 幸男; 橋本 昌宜; 尾上 孝雄
        電子情報通信学会技術研究報告, Vol.113, No.52, 2013年05月13日
      • Supply Noise Suppression by Triple-Well Structure
        Yasuhiro Ogasahara; Masanori Hashimoto; Toshiki Kanamoto; Takao Onoye
        IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, 2013年04月, 査読有り
      • Jitter Amplifier for Oscillator-Based True Random Number Generator
        Takehiko Amaki; Masanori Hashimoto; Takao Onoye
        IEICE TRANSACTIONS ON FUNDAMENTALS OF ELECTRONICS COMMUNICATIONS AND COMPUTER SCIENCES, 2013年03月, 査読有り
      • Signal-Dependent Analog-to-Digital Conversion Based on MINIMAX Sampling
        Igors Homjakovs; Masanori Hashimoto; Tetsuya Hirose; Takao Onoye
        IEICE TRANSACTIONS ON FUNDAMENTALS OF ELECTRONICS COMMUNICATIONS AND COMPUTER SCIENCES, 2013年02月, 査読有り
      • Stochastic Error Rate Estimation for Adaptive Speed Control with Field Delay Testing
        Shoichi Iizuka; Masafumi Mizuno; Dan Kuroda; Masanori Hashimoto; Takao Onoye
        2013 IEEE/ACM INTERNATIONAL CONFERENCE ON COMPUTER-AIDED DESIGN (ICCAD), 2013年, 査読有り
      • Proximity Distance Estimation based on Capacitive Coupling between 1mm(3) Sensor Nodes
        Tatsuya Shinada; Masanori Hashimoto; Takao Onoye
        2013 IEEE 11TH INTERNATIONAL NEW CIRCUITS AND SYSTEMS CONFERENCE (NEWCAS), 2013年, 査読有り
      • A 0.8-V 110-nA CMOS current reference circuit using subthreshold operation
        HOMJAKOVS Igors; HIROSE Tetsuya; OSAKI Yuji; HASHIMOTO Masanori; ONOYE Takao
        IEICE Electronics Express, 2013年
      • Power Gating Implementation for Supply Noise Mitigation with Body-Tied Triple-Well Structure
        Yasumichi Takai; Masanori Hashimoto; Takao Onoye
        IEICE TRANSACTIONS ON FUNDAMENTALS OF ELECTRONICS COMMUNICATIONS AND COMPUTER SCIENCES, 2012年12月, 査読有り
      • A Body Bias Clustering Method for Low Test-Cost Post-Silicon Tuning
        Shuta Kimura; Masanori Hashimoto; Takao Onoye
        IEICE TRANSACTIONS ON FUNDAMENTALS OF ELECTRONICS COMMUNICATIONS AND COMPUTER SCIENCES, 2012年12月, 査読有り
      • Power Distribution Network Optimization for Timing Improvement With Statistical Noise Model and Timing Analysis
        T. Enami; T. Sato; M. Hashimoto
        IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences, 2012年12月, 査読有り
      • Adaptive performance compensation with in-situ timing error predictive sensors for subthreshold circuits
        Fuketa, H.; Hashimoto, M.; Mitsuyama, Y.; Onoye, T.
        IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 2012年02月, 査読有り
      • Static Voltage Over-scaling and Dynamic Voltage Variation Tolerance with Replica Circuits and Time Redundancy in Reconfigurable Devices
        Dawood Alnajjar; Masanori Hashimoto; Takao Onoye; Yukio Mitsuyama
        2012 INTERNATIONAL CONFERENCE ON RECONFIGURABLE COMPUTING AND FPGAS (RECONFIG), 2012年, 査読有り
      • Body Bias Clustering for Low Test-Cost Post-Silicon Tuning
        Shuta Kimura; Masanori Hashimoto; Takao Onoye
        2012 17TH ASIA AND SOUTH PACIFIC DESIGN AUTOMATION CONFERENCE (ASP-DAC), 2012年, 査読有り
      • Signal-dependent analog-to-digital converter based on MINIMAX sampling
        Igors Homjakovs; Masanori Hashimoto; Takao Onoye; Tetsuya Hirose
        ISOCC 2012 - 2012 International SoC Design Conference, 2012年, 査読有り
      • Stress Probability Computation for Estimating NBTI-Induced Delay Degradation
        Hiroaki Konoura; Yukio Mitsuyama; Masanori Hashimoto; Takao Onoye
        IEICE TRANSACTIONS ON FUNDAMENTALS OF ELECTRONICS COMMUNICATIONS AND COMPUTER SCIENCES, 2011年12月, 査読有り
      • Extracting Device-Parameter Variations with RO-Based Sensors
        Ken-ichi Shinkai; Masanori Hashimoto; Takao Onoye
        IEICE TRANSACTIONS ON FUNDAMENTALS OF ELECTRONICS COMMUNICATIONS AND COMPUTER SCIENCES, 2011年12月, 査読有り
      • Neutron-Induced Soft Errors and Multiple Cell Upsets in 65-nm 10T Subthreshold SRAM
        Hiroshi Fuketa; Masanori Hashimoto; Yukio Mitsuyama; Takao Onoye
        IEEE TRANSACTIONS ON NUCLEAR SCIENCE, 2011年08月, 査読有り
      • An Average-Performance-Oriented Subthreshold Processor Self-Timed by Memory Read Completion
        Hiroshi Fuketa; Dan Kuroda; Masanori Hashimoto; Takao Onoye
        IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II-EXPRESS BRIEFS, 2011年05月, 査読有り
      • Power Gating Implementation for Noise Mitigation with Body-Tied Triple-Well Structure
        Yasumichi Takai; Masanori Hashimoto; Takao Onoye
        2011 IEEE CUSTOM INTEGRATED CIRCUITS CONFERENCE (CICC), 2011年, 査読有り
      • A Design Procedure for Oscillator-Based Hardware Random Number Generator with Stochastic Behavior Modeling
        Takehiko Amaki; Masanori Hashimoto; Yukio Mitsuyama; Takao Onoye
        INFORMATION SECURITY APPLICATIONS, 2011年, 査読有り
      • Jitter Amplifier for Oscillator-Based True Random Number Generator
        Takehiko Amaki; Masanori Hashimoto; Takao Onoye
        2011 16TH ASIA AND SOUTH PACIFIC DESIGN AUTOMATION CONFERENCE (ASP-DAC), 2011年, 査読有り
      • An Oscillator-Based True Random Number Generator with Jitter Amplifier
        Takehiko Amaki; Masanori Hashimoto; Takao Onoye
        2011 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS (ISCAS), 2011年, 査読有り
      • Signal-Dependent Analog-to-Digital Conversion Based on MINIMAX Sampling
        HOMJAKOVS Igors; HASHIMOTO Masanori; HIROSE Tetsuya; ONOYE Takao
        IEICE Transactions on Fundamentals of Electronics, Communications and Computer, 2011年, 査読有り
      • Measurement Circuits for Acquiring SET Pulse Width Distribution with Sub-FO1-Inverter-Delay Resolution
        Ryo Harada; Yukio Mitsuyama; Masanori Hashimoto; Takao Onoye
        IEICE TRANSACTIONS ON FUNDAMENTALS OF ELECTRONICS COMMUNICATIONS AND COMPUTER SCIENCES, 2010年12月, 査読有り
      • Transistor Variability Modeling and its Validation With Ring-Oscillation Frequencies for Body-Biased Subthreshold Circuits
        Hiroshi Fuketa; Masanori Hashimoto; Yukio Mitsuyama; Takao Onoye
        IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, 2010年07月, 査読有り
      • Impact of Self-heating in Wire Interconnection on Timing
        T. Kanamoto; T. Okumura; K. Furukawa; H. Takafuji; A. Kurokawa; K. Hachiya; T. Sakata; M. Tanaka; H. Nakashima; H. Masuda; T. Sato; M. Hashimoto
        IEICE Transactions on Electronics, 2010年03月, 査読有り
      • Modeling the overshooting effect for CMOS inverter delay analysis in nanometer technologies
        Huang, Z.; Kurokawa, A.; Hashimoto, M.; Sato, T.; Jiang, M.; Inoue, Y.
        IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2010年02月, 査読有り
      • Comparative study on delay degrading estimation due to NBTI with circuit/instance/transistor-level stress probability consideration
        Hiroaki Konoura; Yukio Mitsuyama; Masanori Hashimoto; Takao Onoye
        PROCEEDINGS OF THE ELEVENTH INTERNATIONAL SYMPOSIUM ON QUALITY ELECTRONIC DESIGN (ISQED 2010), 2010年, 査読有り
      • Adaptive performance control with embedded timing error predictive sensors for subthreshold circuits.
        Hiroshi Fuketa; Masanori Hashimoto; Yukio Mitsuyama; Takao Onoye
        Asia South Pacific Design Automation Conference (ASP-DAC), 2010年01月, 査読有り
      • Trade-Off Analysis between Timing Error Rate and Power Dissipation for Adaptive Speed Control with Timing Error Prediction.
        Hiroshi Fuketa; Masanori Hashimoto; Yukio Mitsuyama; Takao Onoye
        IEICE Transactions, 2009年12月, 査読有り
      • An Approach for Reducing Leakage Current Variation Due to Manufacturing Variability
        T. Sakata; T. Okumura; A. Kurokawa; H. Nakashima; H. Masuda; T. Sato; M. Hashimoto; K. Hachiya; K. Furukawa; M. Tanaka; H. Takafuji; T. Kanamoto
        IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences, 2009年12月, 査読有り
      • Adaptive performance compensation with in-situ timing error prediction for subthreshold circuits.
        Hiroshi Fuketa; Masanori Hashimoto; Yukio Mitsuyama; Takao Onoye
        IEEE Custom Integrated Circuits Conference, CICC 2009, San Jose, California, USA, 13-16 September, 2009, Proceedings, 2009年09月, 査読有り
      • Interconnect Modeling: A Physical Design Perspective (Invited)
        A. Kurokawa; T. Sato; T. Kanamoto; M. Hashimoto
        IEEE Transactions on Electron Devices, 2009年09月, 査読有り, 招待有り
      • All-Digital Ring-Oscillator-Based Macro for Sensing Dynamic Supply Noise Waveform
        Ogasahara Yasuhiro; Hashimoto Masanori; Onoye Takao
        IEEE JOURNAL OF SOLID-STATE CIRCUITS, 2009年06月, 査読有り
      • 製造ばらつきに起因するリーク電流変動の低減アプローチ
        佐方剛; 黒川敦; 奥村隆昌; 中島英斉; 増田弘生; 佐藤高史; 橋本昌宜; 蜂屋孝太郎; 古川且洋; 田中正和; 高藤浩資; 金本俊幾
        電子情報通信学会 回路とシステム(軽井沢)ワークショップ, 2009年04月, 査読有り
      • Improvement in Computational Accuracy of Output Transition Time Variation Considering Threshold Voltage Variations
        T. Okumura; A. Kurokawa; H. Masuda; T. Kanamoto; M. Hashimoto; H. Takafuji; H. Nakashima; N. Ono; T. Sakata; T. Sato
        IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences, 2009年04月, 査読有り
      • An Experimental Study on Body-Biasing Layout Style Focusing on Area Efficiency and Speed Controllability
        Koichi Hamamoto; Hiroshi Fuketa; Masanori Hashimoto; Yukio Mitsuyama; Takao Onoye
        IEICE TRANSACTIONS ON ELECTRONICS, 2009年02月, 査読有り
      • Tuning-Friendly Body Bias Clustering for Compensating Random Variability in Subthreshold Circuits
        Koichi Hamamoto; Masanori Hashimoto; Yukio Mitsuyama; Takao Onoye
        ISLPED 09, 2009年, 査読有り
      • Trade-Off Analysis between Timing Error Rate and Power Dissipation for Adaptive Speed Control with Timing Error Prediction
        Hiroshi Fuketa; Masanori Hashimoto; Yukio Mitsuyama; Takao Onoye
        IEEE/ACM Asia and South Pacific Design Automation Conference (ASP-DAC), 2009年01月, 査読有り
      • Clock Skew Evaluation Considering Manufacturing Variability in Mesh-Style Clock Distribution
        Shinya Abe; Masanori Hashimoto; Takao Onoye
        IEICE TRANSACTIONS ON FUNDAMENTALS OF ELECTRONICS COMMUNICATIONS AND COMPUTER SCIENCES, 2008年12月, 査読有り
      • Area-Efficient Reconfigurable Architecture for Media Processing
        Yukio Mitsuyama; Kazuma Takahashi; Rintaro Imai; Masanori Hashimoto; Takao Onoye; Isao Shirakawa
        IEICE TRANSACTIONS ON FUNDAMENTALS OF ELECTRONICS COMMUNICATIONS AND COMPUTER SCIENCES, 2008年12月, 査読有り
      • Experimental study on body-biasing layout style-- negligible area overhead enables sufficient speed controllability --.
        Koichi Hamamoto; Hiroshi Fuketa; Masanori Hashimoto; Yukio Mitsuyama; Takao Onoye
        ACM Great Lakes Symposium on VLSI (GLSVLSI), 2008年05月, 査読有り
      • Vthばらつきに拠る出力遷移時間ばらつきの解析
        奥村隆昌; 黒川敦; 増田弘生; 金本俊幾; 佐藤高史; 橋本昌宜; 高藤浩資; 中島英斉; 小野信任
        電子情報通信学会 回路とシステム(軽井沢)ワークショップ, 2008年04月, 査読有り
      • Measurement and analysis of inductive coupling noise in 90 nm global interconnects
        Ogasahara Yasuhiro; Hashimoto Masanori; Onoye Takao
        IEEE JOURNAL OF SOLID-STATE CIRCUITS, 2008年03月, 査読有り
      • Timing Analysis Considering Temporal Supply Voltage Fluctuation
        M. Hashimoto; J. Yamaguchi; T. Sato; H. Onodera
        IEICE Transactions on Information and Systems, 2008年03月, 査読有り
      • Measurement of Supply Noise Suppression by Substrate and Deep N-well in 90nm Process
        Yasuhiro Ogasahara; Masanori Hashimoto; Toshiki Kanamoto; Takao Onoye
        2008 IEEE ASIAN SOLID-STATE CIRCUITS CONFERENCE, 2008年, 査読有り
      • Clock Skew evaluation considering manufacturing variability in mesh-style clock distribution
        Shinya Abe; Masanori Hashimoto; Takao Onoye
        ISQED 2008: PROCEEDINGS OF THE NINTH INTERNATIONAL SYMPOSIUM ON QUALITY ELECTRONIC DESIGN, 2008年, 査読有り
      • Dynamic supply noise measurement circuit composed of standard cells suitable for in-site SoC power integrity verification
        Yasuhiro Ogasahara; Masanori Hashimoto; Takao Onoye
        2008 ASIA AND SOUTH PACIFIC DESIGN AUTOMATION CONFERENCE, VOLS 1 AND 2, 2008年, 査読有り
      • Timing Analysis Considering Spatial Power/Ground Level Variation
        HASHIMOTO Masanori; YAMAGUCHI Junji; ONODERA Hidetoshi
        IEICE transactions on fundamentals of electronics, communications and computer sciences, 2007年12月, 査読有り
      • Optimal Termination of On-Chip Transmission-Lines for High-Speed Signaling
        TSUCHIYA Akira; HASHIMOTO Masanori; ONODERA Hidetoshi
        IEICE transactions on electronics, 2007年06月, 査読有り
      • Si-substrate modeling toward substrate-aware interconnect resistance and inductance extraction in SoC design
        T. Kanamoto; T. Ikeda; A. Tsuchiya; H. Onodera; M. Hashimoto
        Proceedings - 10th IEEE Workshop on Signal Propagation on Interconnects, SPI 2006, 2007年05月, 査読有り
      • Quantitative prediction of on-chip capacitive and inductive crosstalk noise and tradeoff between wire cross-sectional area and inductive crosstalk effect
        Yasuhiro Ogasahara; Masanori Hashimoto; Takao Onoye
        IEICE TRANSACTIONS ON FUNDAMENTALS OF ELECTRONICS COMMUNICATIONS AND COMPUTER SCIENCES, 2007年04月, 査読有り
      • Proposal of Metrics for SSTA Accuracy Evaluation
        H. Kobayashi; N. Ono; T. Sato; J. Iwai; H. Nakashima; T. Okumura; M. Hashimoto
        IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences, 2007年04月, 査読有り
      • Quantitative prediction of on-chip capacitive and inductive crosstalk noise and discussion on wire cross-sectional area toward inductive crosstalk free interconnects
        Yasuhiro Ogasahara; Masanori Hashimoto; Takao Onoye
        PROCEEDINGS 2006 INTERNATIONAL CONFERENCE ON COMPUTER DESIGN, 2007年, 査読有り
      • Dynamic supply noise measurement with all digital gated oscillator for evaluating decoupling capacitance effect
        Yasuhiro Ogasahara; Masanori Hashimoto; Takao Onoye
        PROCEEDINGS OF THE IEEE 2007 CUSTOM INTEGRATED CIRCUITS CONFERENCE, 2007年, 査読有り
      • Validation of a Full-Chip Simulation Model for Supply Noise and Delay Dependence on Average Voltage Drop with on-Chip Delay Measurement
        Yasuhiro Ogasahara; Takashi Enami; Masanori Hashimoto; Takashi Sato; Takao Onoye
        IEEE Transactions on Circuits and Systems II: Express Briefs, 2007年, 査読有り
      • Special section on VLSI Design and CAD Algorithms
        Hidetoshi Onodera; Makoto Ikeda; Tohru Ishihara; Tsuyoshi Isshiki; Koji Inoue; Kenichi Okada; Seiji Kajihara; Mineo Kaneko; Hiroshi Kawaguchi; Shinji Kimura; Morihiro Kuga; Atsushi Kurokawa; Takashi Sato; Toshiyuki Shibuya; Yoichi Shiraishi; Kazuyoshi Takagi; Atsushi Takahashi; Yoshinori Takeuchi; Nozomu Togawa; Hiroyuki Tomiyama; Yuichi Nakamura; Kiyoharu Hamaguchi; Yukiya Miura; Shin Ichi Minato; Ryuichi Yamaguchi; Masaaki Yamada; Yasushi Yuminaka; Takayuki Watanabe; Masanori Hashimoto; Masayuki Miyazaki
        IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences, 2006年12月
      • Si-Substrate Modeling toward Substrate-Aware Interconnect Resistance and Inductance Extraction in SoC Design
        KANAMOTO Toshiki; IKEDA Tatsuhiko; TSUCHIYA Akira; ONODERA Hidetoshi; HASHIMOTO Masanori
        IEICE transactions on fundamentals of electronics, communications and computer sciences, 2006年12月, 査読有り
      • Interconnect RL extraction based on transfer characteristics of transmission-line
        Tsuchiya, A.; Hashimoto, M.; Onodera, H.
        IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences, 2006年12月, 査読有り
      • On-chip Thermal Gradient Analysis Considering Interdependence Between Leakage Power and Temperature
        T. Sato; J. Ichimiya; N. Ono; M. Hashimoto
        IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences, 2006年12月, 査読有り
      • Input capacitance modeling of logic gates for accurate static timing analysis
        T. Kouno; M. Hashimoto; H. Onodera
        2005 IEEE Asian Solid-State Circuits Conference, ASSCC 2005, 2006年11月, 査読有り
      • 統計的STAの有効性の検証手法
        小林 宏行; 小野 信任; 佐藤 高史; 岩井 二郎; 橋本 昌宜
        第19回 回路とシステム(軽井沢)ワークショップ, 2006年04月, 査読有り
      • 電流変動に着目した広範囲な製造・環境ばらつき対応ゲート遅延モデル
        新開 健一; 橋本 昌宜; 黒川 敦; 尾上 孝雄
        第19回 回路とシステム(軽井沢)ワークショップ, 2006年04月, 査読有り
      • LSI 配線における容量性, 誘導性クロストークノイズの定量的将来予測
        小笠原 泰弘; 橋本 昌宜; 尾上 孝雄
        第19回 回路とシステム(軽井沢)ワークショップ, 2006年04月, 査読有り
      • 画素充電率制約を満足する液晶ドライバ回路のトランジスタサイズ決定技術
        伊地知 孝仁; 橋本 昌宜; 高橋 真吾; 築山 修治; 白川 功
        電子情報通信学会 VLSI設計技術研究会, 2006年03月
      • ロードマップに準拠したSPICEトランジスタモデルの構築
        上村 晋一朗; 土谷 亮; 橋本 昌宜; 小野寺 秀俊
        2006年電子情報通信学会総合大会講演論文集, 2006年03月
      • 電源ノイズ解析のための回路動作部表現法の評価
        榎並 孝司; 橋本 昌宜; 尾上 孝雄
        2006年電子情報通信学会総合大会講演論文集, 2006年03月
      • A gate delay model focusing on current fluctuation over wide-range of process and environmental variability
        Ken'ichi Shinkai; Masanori Hashimoto; Atsushi Kurokawa; Takao Onoye
        IEEE/ACM INTERNATIONAL CONFERENCE ON COMPUTER-AIDED DESIGN, DIGEST OF TECHNICAL PAPERS, ICCAD, 2006年, 査読有り
      • Measurement of inductive coupling effect on timing in 90nm global interconnects
        Yasuhiro Ogasahara; Masanori Hashimoto; Takao Onoye
        PROCEEDINGS OF THE IEEE 2006 CUSTOM INTEGRATED CIRCUITS CONFERENCE, 2006年, 査読有り
      • Interconnect RL extraction at a single representative frequency
        A. Tsuchiya; M. Hashimoto; H. Onodera
        Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC, 2006年01月, 査読有り
      • A gate delay model focusing on current fluctuation over wide-range of process and environmental variability
        Ken'ichi Shinkai; Masanori Hashimoto; Atsushi Kurokawa; Takao Onoye
        IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD, 2006年, 査読有り
      • Effective Si-substrate Modeling for Frequency-dependent Interconnect Resistance and Inductance Extraction
        T. Kanamoto; T. Ikeda; A. Tsuchiya; H. Onodera; M. Hashimoto
        International Workshop on Compact Modeling, 2006年01月, 査読有り
      • Statistical analysis of clock skew variation in H-tree structure
        M Hashimoto; T Yamamoto; H Onodera
        IEICE TRANSACTIONS ON FUNDAMENTALS OF ELECTRONICS COMMUNICATIONS AND COMPUTER SCIENCES, 2005年12月, 査読有り
      • Effects of On-Chip Inductance on Power Distribution Grid
        MURAMATSU Atsushi; HASHIMOTO Masanori; ONODERA Hidetoshi
        IEICE transactions on fundamentals of electronics, communications and computer sciences, 2005年12月, 査読有り
      • Second-Order Polynomial Expressions for On-Chip Interconnect Capacitance.
        Atsushi Kurokawa; Masanori Hashimoto; Akira Kasebe; Zhangcai Huang; Yun Yang; Yasuaki Inoue; Ryosuke Inagaki; Hiroo Masuda
        IEICE Transactions, 2005年12月, 査読有り
      • Successive Pad Assignment for Minimizing Supply Voltage Drop
        SATO Takashi; HASHIMOTO Masanori; ONODERA Hidetoshi
        IEICE transactions on fundamentals of electronics, communications and computer sciences, 2005年12月, 査読有り
      • Successive Pad Assignment Algorithm to Optimize Number and Location of Power Supply Pad Using Incremental Matrix Inversion
        T. Sato; M. Hashimoto; H. Onodera
        IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences, 2005年12月, 査読有り
      • On-chip Thermal Gradient Analysis and Temperature Flattening for SoC Design
        T. Sato; J. Ichimiya; N. Ono; K. Hachiya; M. Hashimoto
        IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences, 2005年12月, 査読有り
      • CMLを用いたオンチップ長距離高速信号伝送技術の開発
        土谷 亮; 新名 亮規; 橋本 昌宜; 小野寺 秀俊
        第9回システムLSIワークショップ, 2005年11月
      • Performance prediction of on-chip high-throughput global signaling
        M. Hashimoto; A. Tsuchiya; A. Shinmyo; H. Onodera
        IEEE Topical Meeting on Electrical Performance of Electronic Packaging, 2005年10月, 査読有り
      • Design guideline for resistive termination of on-chip high-speed interconnects
        A. Tsuchiya; M. Hashimoto; H. Onodera
        Proceedings of the Custom Integrated Circuits Conference, 2005年09月, 査読有り
      • Substrate loss of on-chip transmission-lines with power/ground wires in lower layer
        A. Tsuchiya; M. Hashimoto; H. Onodera
        Proceedings - 9th IEEE Workshop on Signal Propagation on Interconnects, SPI 2005, 2005年05月, 査読有り
      • オンチップ高速信号伝送用線路の解析的性能評価
        土谷亮; 橋本昌宜; 小野寺秀俊
        信学技報, 2005年03月11日
      • A Performance Prediction of Clock Generation PLLs : A Ring Oscillator Based PLL and an LC Oscillator Based PLL
        MIYAZAKI Takahito; HASHIMOTO Masanori; ONODERA Hidetoshi
        IEICE transactions on electronics, 2005年03月, 査読有り
      • Statistical analysis of clock skew variation in H-tree structure
        M Hashimoto; T Yamamoto; H Onodera
        6TH INTERNATIONAL SYMPOSIUM ON QUALITY ELECTRONIC DESIGN, PROCEEDINGS, 2005年, 査読有り
      • オンチップ高速信号伝送における終端抵抗決定手法
        土谷 亮; 橋本 昌宜; 小野寺 秀俊
        第18回 回路とシステム軽井沢ワークショップ, pp.425-430, Apr 2005., 2005年, 査読有り
      • 配線の伝達特性に基づく抽出周波数決定手法
        土谷 亮; 橋本 昌宜; 小野寺 秀俊
        DAシンポジウム 2005, pp.169-174, Aug 2005., 2005年, 査読有り
      • Effects of Orthogonal Power/Ground Wires on On-chip Interconnect Characteristics
        Akira Tsuchiya; Masanori Hashimoto; Hidetoshi Onodera
        2005 International Meeting for Future Electron Devices, Kansai, pp.33-34, Apr 2005., 2005年, 査読有り
      • Design and measurement of 6.4 Gbps 8:1 multiplexer in 0.18μm CMOS process
        A. Shinmyo; M. Hashimoto; H. Onodera
        Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC, 2005年01月, 査読有り
      • Return path selection for loop RL extraction
        A. Tsuchiya; M. Hashimoto; H. Onodera
        Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC, 2005年01月, 査読有り
      • Measurement and analysis of delay variation due to inductive coupling
        Y Ogasahara; M Hashimoto; T Onoye
        CICC: PROCEEDINGS OF THE IEEE 2005 CUSTOM INTEGRATED CIRCUITS CONFERENCE, 2005年, 査読有り
      • Timing analysis considering spatial power/ground level variation
        M. Hashimoto; J. Yamaguchi; H. Onodera
        IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD, 2004年11月, 査読有り
      • On-chip global signaling by wave pipelining
        M. Hashimoto; A. Tsuchiya; H. Onodera
        IEEE Topical Meeting on Electrical Performance of Electronic Packaging, 2004年10月, 査読有り
      • Performance Limitation of On-chip Global Interconnects for High-speed Signaling
        A. Tsuchiya; M. Hashimoto; H. Onodera
        Proc. IEEE Custom Integrated Circuits Conference, 2004年10月, 査読有り
      • 遅延計算およびシグナルインテグリティを考慮した配線寄生容量抽出精度評価
        金本 俊幾; 阿久津滋聖; 中林 太美世; 一宮 敬弘; 蜂屋 孝太郎; 石川 博; 室本 栄; 小林 宏行; 橋本 昌宜; 黒川 敦
        2004年07月
      • フロアプランにおけるオンチップ熱ばらつきの解析と対策
        佐藤 高史; 市宮 淳次; 小野 信任; 蜂屋 孝太郎; 橋本 昌宜
        2004年07月
      • 配線RL抽出におけるリターンパス選択手法
        土谷 亮; 橋本 昌宜; 小野寺 秀俊
        2004年07月
      • オンチップインダクタンスを考慮したLSI電源配線網解析
        村松 篤; 橋本 昌宜; 小野寺 秀俊
        2004年07月
      • オンチップ伝送線路のリターン電流分布が信号波形に与える影響 --- 平衡・不平衡伝送の比較 ---
        土谷 亮; 橋本 昌宜; 小野寺 秀俊
        2004年04月
      • Equivalent, waveform propagation for static timing analysis
        M Hashimoto; Y Yamada; H Onodera
        IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, 2004年04月, 査読有り
      • Equivalent Waveform Propagation for Static Timing Analysis
        M. Hashimoto; Y. Yamada; H. Onodera
        IEEE Trans. on CAD, 2004年04月, 査読有り
      • ゲート毎の電源電圧変動を考慮した静的遅延解析法
        山口 隼司; 橋本 昌宜; 小野寺 秀俊
        2004年03月
      • 電源電圧変動に対するオンチップ配線インダクタンスの影響
        村松 篤; 橋本 昌宜; 小野寺 秀俊
        2004年03月
      • Design and optimization of CMOS current mode logic dividers
        A Shinmyo; M Hashimoto; H Onodera
        PROCEEDINGS OF 2004 IEEE ASIA-PACIFIC CONFERENCE ON ADVANCED SYSTEM INTEGRATED CIRCUITS, 2004年, 査読有り
      • Automatic generation of standard cell library in VDSM technologies
        M Hashimoto; K Fujimori; H Onodera
        ISQED 2004: 5TH INTERNATIONAL SYMPOSIUM ON QUALITY ELECTRONIC DESIGN, PROCEEDINGS, 2004年, 査読有り
      • A performance comparison of PLLs for clock generation using ring oscillator VCO and LC oscillator in a digital CMOS process
        T Miyazaki; M Hashimoto; H Onodera
        ASP-DAC 2004: PROCEEDINGS OF THE ASIA AND SOUTH PACIFIC DESIGN AUTOMATION CONFERENCE, 2004年, 査読有り
      • Representative frequency for interconnect R(f)L(f)C extraction
        A Tsuchiya; M Hashimoto; H Onodera
        ASP-DAC 2004: PROCEEDINGS OF THE ASIA AND SOUTH PACIFIC DESIGN AUTOMATION CONFERENCE, 2004年, 査読有り
      • Representative frequency for interconnect R(f)L(f)C extraction
        A Tsuchiya; M Hashimoto; H Onodera
        ASP-DAC 2004: PROCEEDINGS OF THE ASIA AND SOUTH PACIFIC DESIGN AUTOMATION CONFERENCE, 2004年
      • Experimental study on cell-base high-performance datapath design
        M Hashimoto; Y Hayashi; H Onodera
        IEICE TRANSACTIONS ON FUNDAMENTALS OF ELECTRONICS COMMUNICATIONS AND COMPUTER SCIENCES, 2003年12月
      • Crosstalk noise estimation for generic RC trees
        M Hashimoto; M Takahashi; H Onodera
        IEICE TRANSACTIONS ON FUNDAMENTALS OF ELECTRONICS COMMUNICATIONS AND COMPUTER SCIENCES, 2003年12月
      • 電源配線の等価回路簡略化による電源解析高速化の検討
        村松 篤; 橋本 昌宜; 小野寺 秀俊
        2003年11月
      • デジタルCMOSプロセスを使用したクロック生成向けPLLの将来性能予測 ーLC発振型VCOを用いたPLLの有効性ー
        宮崎 崇仁; 橋本 昌宜; 小野寺 秀俊
        2003年09月
      • オンチップ高速信号配線における波形歪みの影響
        土谷 亮; 橋本 昌宜; 小野寺 秀俊
        2003年09月
      • 直交配線を持つオンチップ伝送線路の特性評価
        土谷 亮; 橋本 昌宜; 小野寺 秀俊
        2003年07月
      • 遅延計算におけるインダクタンスを考慮すべき配線の統計的選別手法
        金本俊幾; 佐藤高史; 黒川敦; 川上善之; 岡宏規; 北浦智靖; 小林宏行; 橋本昌宜
        情報処理学会論文誌, 2003年05月
      • 配線R(f)L(f)C抽出のための代表周波数決定手法
        土谷 亮; 橋本 昌宜; 小野寺 秀俊
        2003年04月
      • Frequency Determination for Interconnect RLC Extraction
        A. Tsuchiya; M. Hashimoto; H. Onodera
        Proc. Workshop on Synthesis and System Integration of Mixed Technologies, 2003年04月, 査読有り
      • Slew Calculation against Diverse Gate-Input Waveforms for Accurate Static Timing Analysis
        Y. Yamada; M. Hashimoto; H. Onodera
        Proc. Workshop on Synthesis and System Integration of Mixed Technologies, 2003年04月, 査読有り
      • Capturing Crosstalk-Induced Waveform for Accurate Static Timing Analysis
        M. Hashimoto; Y. Yamada; H. Onodera
        Proc. ACM/IEEE International Symposium on Physical Design, 2003年04月, 査読有り
      • オンチップデカップリング容量の最適寄生抵抗値の決定法
        村松 篤; 橋本 昌宜; 小野寺 秀俊
        2003年03月
      • オンチップオシロ用サンプルホールド回路の広周波数帯域化
        宮崎 崇仁; 新名 亮規; 橋本 昌宜; 小野寺 秀俊
        2003年03月
      • 信号配線と下層配線との結合に対する直交配線の影響
        土谷 亮; 橋本 昌宜; 小野寺 秀俊
        2003年03月
      • LSI物理設計におけるSignal Integrity問題
        橋本 昌宜
        2003年03月, 招待有り
      • 静的遅延解析のための等価ゲート入力波形導出法 --VDSMプロセスに起因する波形歪みへの対応--
        山田 祐嗣; 橋本 昌宜; 小野寺 秀俊
        2003年01月
      • Standard cell libraries with various driving strength cells for 0.13, 0.18 and 0.35 mu m technologies
        M Hashimoto; K Fujimori; H Onodera
        ASP-DAC 2003: PROCEEDINGS OF THE ASIA AND SOUTH PACIFIC DESIGN AUTOMATION CONFERENCE, 2003年, 査読有り
      • Accurate prediction of the impact of on-chip inductance on interconnect delay using electrical and physical parameter-based RSF
        T Sato; T Kanamoto; A Kurokawa; Y Kawakami; H Oka; T Kitaura; H Kobayashi; M Hashimoto
        ASP-DAC 2003: PROCEEDINGS OF THE ASIA AND SOUTH PACIFIC DESIGN AUTOMATION CONFERENCE, 2003年, 査読有り
      • Increase in delay uncertainty by performance optimization
        M Hashimoto; H Onodera
        IEICE TRANSACTIONS ON FUNDAMENTALS OF ELECTRONICS COMMUNICATIONS AND COMPUTER SCIENCES, 2002年12月
      • 容量性クロストークを考慮した高精度タイミング解析に関する研究
        山田 祐嗣; 橋本 昌宜; 小野寺 秀俊
        2002年11月
      • インダクタンスに起因する配線遅延変動の統計的予測手法
        佐藤高史; 金本俊幾; 黒川敦; 川上善之; 岡宏規; 北浦智靖; 池内敦彦; 小林宏行; 橋本昌宜
        2002年09月, 招待有り
      • 京大版スタンダードセルライブラリ
        橋本 昌宜
        2002年09月, 招待有り
      • 長距離高速信号伝送を可能にするVLSI配線構造の検討
        平松 大輔; 土谷 亮; 橋本 昌宜; 小野寺 秀俊
        2002年07月
      • IRドロップを考慮した電源線構造の最適化手法
        山口 隼司; 橋本 昌宜; 小野寺 秀俊
        IPSJ Symposium Series, 2002年07月
      • 0.1μm級LSIの遅延計算における寄生インダクタンスを考慮すべき配線の統計的選別手法
        金本 俊幾; 佐藤 高史; 黒川 敦; 川上 善之; 岡 宏規; 北浦 智靖; 池内 敦彦; 小林 宏行; 橋本 昌宜
        2002年07月
      • セルベース設計環境を用いた高性能データパス設計法の検討
        林 宙輝; 橋本 昌宜; 小野寺 秀俊
        2002年07月
      • Experimental Study on Cell-Base High-Performance Datapath Design
        Hashimoto, M.; Hayashi, Y.; Onodera, H.
        IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences, 2003年, 査読有り
      • VLSI 配線の伝送線路特性を考慮した駆動力決定手法
        土谷 亮; 橋本 昌宜; 小野寺 秀俊
        情報処理学会論文誌, 2002年05月
      • インダクタンスが配線遅延に及ぼす影響の定量的評価方法
        佐藤高史; 金本俊幾; 黒川敦; 川上善之; 岡宏規; 北浦智靖; 池内敦彦; 小林宏行; 橋本昌宜
        2002年04月
      • Crosstalk Noise Optimization by Post-Layout Transistor Sizing
        M. Hashimoto; M. Takahashi; H. Onodera
        Proc. ACM/IEEE International Symposium on Physical Design, 2002年04月, 査読有り
      • 駆動力可変セルレイアウト生成システムによるスタンダードセルライブラリ開発
        藤森 一憲; 橋本 昌宜; 小野寺 秀俊
        2002年03月
      • LSI配線インダクタンスに対する直交配線の影響
        土谷 亮; 橋本 昌宜; 小野寺 秀俊
        2002年03月
      • ゲート出力波形導出時の誤差要因とその影響の評価
        山田 祐嗣; 橋本 昌宜; 小野寺 秀俊
        2002年03月
      • Interconnect structures for high-speed long-distance signal transmission
        M Hashimoto; D Hiramatsu; A Tsuchiya; H Onodera
        15TH ANNUAL IEEE INTERNATIONAL ASIC/SOC CONFERENCE, PROCEEDINGS, 2002年, 査読有り
      • Post-layout transistor sizing for power reduction in cell-base design
        M Hashimoto; H Onodera
        IEICE TRANSACTIONS ON FUNDAMENTALS OF ELECTRONICS COMMUNICATIONS AND COMPUTER SCIENCES, 2001年11月, 査読有り
      • ポストレイアウトトランジスタ寸法最適化によるクロストークノイズ削減手法
        橋本 昌宜; 高橋 正郎; 小野寺 秀俊
        2001年11月
      • Driver Sizing for High-Performance Interconnects Considering Transmission-Line Effects
        A. Tsuchiya; M. Hashimoto; H. Onodera
        Proc. Workshop on Synthesis and System Integration of Mixed Technologies, 2001年10月, 査読有り
      • ポストレイアウトトランジスタ寸法最適化によるクロストークノイズ削減手法
        橋本 昌宜; 高橋 正郎; 小野寺 秀俊
        2001年09月
      • 波形重ね合せによるクロストーク遅延変動量の見積もり手法
        高橋 正郎; 橋本 昌宜; 小野寺 秀俊
        2001年09月
      • 長距離高速配線における RC モデルに基づく回路設計の限界
        土谷 亮; 橋本 昌宜; 小野寺 秀俊
        2001年09月
      • 隣接位置を考慮した解析的クロストークノイズ見積もり手法
        高橋 正郎; 橋本 昌宜; 小野寺 秀俊
        2001年07月
      • Increase in delay uncertainty by performance optimization
        M. Hashimoto; H. Onodera
        Proceedings - IEEE International Symposium on Circuits and Systems, 2001年05月, 査読有り
      • Increase in Delay Uncertainty by Performance Optimization
        M. Hashimoto; H. Onodera
        Proc. IEEE International Symposium on Circuits and Systems, 2001年05月, 査読有り
      • 隣接位置を考慮した解析的クロストークノイズモデル ---導出と評価 ---
        高橋 正郎; 橋本 昌宜; 小野寺 秀俊
        2001年03月
      • 隣接位置を考慮した解析的クロストークノイズモデル ---実回路への 適用---
        橋本 昌宜; 高橋 正郎; 小野寺 秀俊
        2001年03月
      • Post-layout transistor sizing for power reduction in cell-based design
        M Hashimoto; H Onodera
        PROCEEDINGS OF THE ASP-DAC 2001: ASIA AND SOUTH PACIFIC DESIGN AUTOMATION CONFERENCE 2001, 2001年
      • Crosstalk noise estimation for generic RC trees
        M Takahashi; M Hashimoto; H Onodera
        2001 INTERNATIONAL CONFERENCE ON COMPUTER DESIGN, ICCD 2001, PROCEEDINGS, 2001年, 査読有り
      • ASIC design methodology with on-demand library generation
        H Onodera; M Hashimoto; T Hashimoto
        2001 SYMPOSIUM ON VLSI CIRCUITS, DIGEST OF TECHNICAL PAPERS, 2001年, 査読有り
      • Post-layout transistor sizing for power reduction in cell-based design
        M Hashimoto; H Onodera
        PROCEEDINGS OF THE ASP-DAC 2001: ASIA AND SOUTH PACIFIC DESIGN AUTOMATION CONFERENCE 2001, 2001年, 査読有り
      • A Statistical Delay-Uncertainty Analysis of the Circuits Path-Balanced by Gate/Transistor Sizing
        M. Hashimoto; H. Onodera
        Proc. ACM/IEEE International Workshop on Timing Issues in the Specification and Synthesis of Digital Systems, 2000年12月, 査読有り
      • A performance optimization method by gate resizing based on statistical static timing analysis
        M Hashimoto; H Onodera
        IEICE TRANSACTIONS ON FUNDAMENTALS OF ELECTRONICS COMMUNICATIONS AND COMPUTER SCIENCES, 2000年12月
      • パスバランス回路における遅延不確かさの統計的解析
        橋本 昌宜; 小野寺 秀俊
        2000年11月
      • オンデマンドライブラリを用いた最適LSI設計手法
        橋本 昌宜
        2000年09月, 招待有り
      • パスバランス回路における遅延不確かさの統計的解析
        橋本 昌宜; 小野寺 秀俊
        2000年09月
      • セルベース設計における連続的トランジスタ寸法最適化による消費電力削減手法
        橋本 昌宜; 小野寺 秀俊
        2000年07月
      • 静的統計遅延解析に基づいたゲート寸法最適化による回路性能最適化手法
        橋本 昌宜; 小野寺 秀俊
        2000年04月
      • A Performance Optimization Method by Gate Resizing Based on Statistical Static Timing Analysis
        M. Hashimoto; H. Onodera
        Proc. Workshop on Synthesis and System Integration of Mixed Technologies, 2000年04月, 査読有り
      • A Performance Optimization Method by Gate Sizing using Statistical Static Timing Analysis
        M. Hashimoto; H. Onodera
        Proc. ACM International Symposium on Physical Design, 2000年04月, 査読有り
      • オンデマンドライブラリを用いたシステムLSI詳細設計手法
        橋本 昌宜; 橋本鉄太郎; 西川亮太; 福田大輔; 黒田慎介; 菅俊介; 神原弘之; 小野寺 秀俊
        2000年03月
      • 静的統計遅延解析を用いた最悪遅延時間計算手法
        橋本 昌宜; 小野寺 秀俊
        2000年03月
      • Vector quantization processor for mobile video communication
        T Iwahashi; T Shibayama; M Hashimoto; K Kobayashi; H Onodera
        13TH ANNUAL IEEE INTERNATIONAL ASIC/SOC CONFERENCE, PROCEEDINGS, 2000年, 査読有り
      • オンデマンドライブラリを用いたシステムLSI詳細設計手法
        橋本昌宜; 橋本鉄太郎; 西川亮太; 福田大輔; 黒田慎介; 菅俊介; 神原弘之; 小野寺秀俊
        第3回システムLSI琵琶湖ワークショップ予稿集, 1999年11月01日
      • オンデマンドライブラリを用いたシステムLSI詳細設計手法
        橋本 昌宜; 橋本 鉄太郎; 西川 亮太; 福田 大輔; 黒田 慎介; 菅 俊介; 神原 弘之; 小野寺 秀俊
        1999年11月
      • スタンダードセルライブラリの駆動能力種類の追加による消費電力削減効果の検討
        橋本 昌宜; 小野寺 秀俊
        1999年09月
      • A Practical Gate Resizing Technique Considering Glitch Reduction for Low Power Design
        M. Hashimoto; H. Onodera; K. Tamaru
        Proc. IEEE/ACM Design Automation Conference, 1999年06月, 査読有り
      • グリッチの削減を考慮したゲート寸法最適化による消費電力削減手法
        橋本 昌宜; 小野寺 秀俊; 田丸 啓吉
        情報処理学会論文誌, 1999年04月
      • A power and delay optimization method using input reordering in cell-based CMOS circuits
        M Hashimoto; H Onodera; K Tamaru
        IEICE TRANSACTIONS ON FUNDAMENTALS OF ELECTRONICS COMMUNICATIONS AND COMPUTER SCIENCES, 1999年01月, 査読有り
      • グリッチの削減を考慮したゲート寸法最適化による消費電力削減手法 ---レイアウト設計への適用---
        橋本 昌宜; 小野寺 秀俊; 田丸 啓吉
        1998年09月
      • グリッチの削減を考慮したゲート寸法最適化による消費電力削減手法
        橋本 昌宜; 小野寺 秀俊; 田丸 啓吉
        1998年07月
      • 論理シミュレーションを用いた消費電力見積もりの高精度化手法
        橋本 昌宜; 小野寺 秀俊; 田丸 啓吉
        1998年03月
      • A power optimization method considering glitch reduction by gate sizing
        M Hasimoto; H Onodera; K Tamaru
        1998 INTERNATIONAL SYMPOSIUM ON LOW POWER ELECTRONICS AND DESIGN - PROCEEDINGS, 1998年, 査読有り
      • 入力端子接続最適化による遅延時間と消費電力の最適化手法
        橋本 昌宜; 小野寺 秀俊; 田丸 啓吉
        1997年09月
      • 入力端子接続最適化による消費電力削減手法
        橋本 昌宜; 小野寺 秀俊; 田丸 啓吉
        1997年07月
      • Input reordering for power and delay optimization
        M Hashimoto; H Onodera; K Tamaru
        TENTH ANNUAL IEEE INTERNATIONAL ASIC CONFERENCE AND EXHIBIT, PROCEEDINGS, 1997年, 査読有り

      MISC

      • スタンダードセルで構成された電源ノイズ波形測定回路の提案
        小笠原 泰弘; 橋本 昌宜; 尾上 孝雄
        電子情報通信学会技術研究報告. CPM, 電子部品・材料, 2008年04月11日
      • nMOSレベルシフタ回路の性能比較手法について
        大津 誠; 高橋 真吾; 築山 修治; 橋本 昌宜; 白川 功
        情報処理学会研究報告システムLSI設計技術(SLDM), 2008年03月28日
      • A-3-5 メッシュ型クロック分配網のスキュー評価(A-3.VLSI設計技術,一般講演)
        阿部 慎也; 橋本 昌宜; 尾上 孝雄
        電子情報通信学会総合大会講演論文集, 2007年03月07日
      • A-3-9 シャントコンダクタンスを挿入したオンチップ伝送線路のアイパターン評価(A-3.VLSI設計技術,一般講演)
        Jangsombatsiri Siriporn; 橋本 昌宜; 土谷 亮; Zhu Haikun; Cheng Chung-Kuan
        電子情報通信学会総合大会講演論文集, 2007年03月07日
      • A-3-6 低電圧回路向け基板電位制御レイアウト方式の面積効率評価(A-3.VLSI設計技術,一般講演)
        濱本 浩一; 橋本 昌宜; 密山 幸男; 尾上 孝雄
        電子情報通信学会総合大会講演論文集, 2007年03月07日
      • 統計的電源ノイズモデル化に適した適応的領域分割法
        榎並孝司; 橋本 昌宜
        電子情報通信学会ソサイエティ大会, 2007, 2007年
      • 加算器を用いた subthreshold 回路の設計指針の検討
        更田裕司; 橋本 昌宜; 密山 幸男; 尾上 孝雄
        電子情報通信学会総合大会, March. 2007, 2007年
      • 遅延ばらつきを考慮したVLSIタイミング検証(<特集1>設計・CAEによる実装イノベーション)
        橋本 昌宜
        エレクトロニクス実装学会誌, 2008年05月01日
      • バス配線における誘導性クロストークノイズによる遅延変動の実測とノイズ重ね合わせ効果の検証 (VLSI設計技術)
        小笠原 泰弘; 橋本 昌宜; 尾上 孝雄
        電子情報通信学会技術研究報告, 2008年03月06日
      • 液晶ディスプレイ用サンプリング回路の最適性について
        高橋 真吾; 築山 修治; 橋本 昌宜; 白川 功
        電子情報通信学会技術研究報告. ICD, 集積回路, 2007年03月02日
      • A-3-14 短距離ブロック内配線の自己発熱問題の将来予測(A-3.VLSI設計技術,一般講演)
        新開 健一; 橋本 昌宜; 尾上 孝雄
        電子情報通信学会ソサイエティ大会講演論文集, 2006年09月07日
      • Performance Limitation of On-Chip Global Interconnects for High-Speed Signaling(Selected Papers from the 17th Workshop on Circuits and Systems in Karuizawa)
        TSUCHIYA Akira; HASHIMOTO Masanori; ONODERA Hidetoshi
        IEICE transactions on fundamentals of electronics, communications and computer sciences, 2005年04月01日
      • 基板バイアス印加レイアウト方式の面積効率と速度制御性の評価
        濱本 浩一; 更田 裕司; 橋本 昌宜; 密山 幸男; 尾上 孝雄
        電子情報通信学会技術研究報告. VLD, VLSI設計技術, 2008年06月26日
      • nMOSレベルシフタ回路の性能比較手法について
        大津 誠; 高橋 真吾; 築山 修治; 橋本 昌宜; 白川 功
        電子情報通信学会技術研究報告. DC, ディペンダブルコンピューティング, 2008年03月20日
      • 製造・環境ばらつきを考慮したタイミング検証技術
        橋本 昌宜
        電子情報通信学会技術研究報告. VLD, VLSI設計技術, 2007年10月24日
      • 短距離ブロック内配線の自己発熱 (第20回 回路とシステム軽井沢ワークショップ論文集) -- (デバイスモデリング)
        新開 健一; 橋本 昌宜; 尾上 孝雄
        回路とシステム軽井沢ワークショップ論文集, 2007年04月23日
      • 電源ノイズの空間的相関を考慮した統計的タイミング解析 (第20回 回路とシステム軽井沢ワークショップ論文集) -- (DFM(1))
        榎並 孝司; 二宮 進有; 橋本 昌宜
        回路とシステム軽井沢ワークショップ論文集, 2007年04月23日
      • 90nmグローバル配線における誘導性クロストークノイズによる遅延変動の実測
        小笠原 泰弘; 橋本 昌宜; 尾上 孝雄
        電子情報通信学会技術研究報告. CPM, 電子部品・材料, 2007年01月11日
      • グラウンド平面・シールド配線によるシステム・オン・パネルの配線間容量の低減と容量見積りの容易化
        内田 好弘; 谷 貞宏; 橋本 昌宜; 築山 修治; 白川 功
        情報処理学会論文誌, 2006年06月15日
      • 液晶ディスプレイ用サンプリング回路の設計手法について
        高橋真吾; 築山 修治; 橋本 昌宜; 白川 功
        2005年電子情報通信学会ソサイエティ大会講演論文集, 2005年
      • 低エネルギー動作に適した超低電圧プロセッサのアーキテクチャ評価
        黒田 弾; 更田 裕司; 橋本 昌宜; 尾上 孝雄
        電子情報通信学会技術研究報告. SIP, 信号処理 : IEICE technical report, 2009年10月08日
      • 中性子起因SEMTの電源電圧及び基板バイアス依存性測定
        原田 諒; 密山 幸男; 橋本 昌宜; 尾上 孝雄
        研究報告システムLSI設計技術(SLDM), 2012年11月19日
      • 確率的動作モデルを用いたオシレータベース物理乱数生成器の設計手法 (ディペンダブルコンピューティング)
        天木 健彦; 橋本 昌宜; 密山 幸男
        電子情報通信学会技術研究報告, 2010年11月29日
      • 確率的動作モデルを用いたオシレータベース物理乱数生成器の設計手法 (VLSI設計技術)
        天木 健彦; 橋本 昌宜; 密山 幸男
        電子情報通信学会技術研究報告, 2010年11月29日
      • 動的再構成可能アーキテクチャによる故障回避機構の定量的信頼性評価
        郡浦 宏明; 密山 幸男; 橋本 昌宜; 尾上 孝雄
        電子情報通信学会技術研究報告. RECONF, リコンフィギャラブルシステム : IEICE technical report, 2011年05月05日
      • 電源ノイズに注目した電源遮断法の実機評価
        高井 康充; 橋本 昌宜; 尾上 孝雄
        電子情報通信学会技術研究報告. ICD, 集積回路, 2010年12月09日
      • 高時間分解能を実現するSETパルス幅測定回路の提案
        原田 諒; 密山 幸男; 橋本 昌宜; 尾上 孝雄
        電子情報通信学会技術研究報告. VLD, VLSI設計技術, 2010年09月20日
      • 誘導性・容量性クロストークノイズによる遅延変動の測定と評価
        小笠原 泰弘; 橋本 昌宜; 尾上 孝雄
        電子情報通信学会技術研究報告. SDM, シリコン材料・デバイス, 2005年08月11日
      • Representative Frequency for Interconnect R(f)L(f)C Extraction
        TSUCHIYA Akira; HASHIMOTO Masanori; ONODERA Hidetoshi
        IEICE transactions on fundamentals of electronics, communications and computer sciences, 2003年12月01日
      • Crosstalk Noise Estimation for Generic RC Trees
        HASHIMOTO Masanori; TAKAHASHI Masao; ONODERA Hidetoshi
        IEICE transactions on fundamentals of electronics, communications and computer sciences, 2003年12月01日
      • 国際会議への論文の執筆ガイド : VLSI設計技術分野での一考察
        橋本 昌宜
        電子情報通信学会技術研究報告. VLD, VLSI設計技術, 2010年11月22日
      • A Power and Delay Optimization Method Using Input Reordering in Cell-Based CMOS Circuits
        HASHIMOTO Masanori; ONODERA Hidetoshi; TAMARU Keikichi
        IEICE transactions on fundamentals of electronics, communications and computer sciences, 1999年01月25日
      • メディア処理向け再構成可能アーキテクチャでの動画像復号処理の実現
        密山 幸男; 高橋 一真; 今井 林太郎; 橋本 昌宜; 尾上 孝雄; 白川 功
        電子情報通信学会論文誌. A, 基礎・境界 = The transactions of the Institute of Electronics, Information and Communication Engineers. A, 2010年06月01日
      • 確率的動作モデルを用いたオシレータベース物理乱数生成器の設計手法
        天木 健彦; 橋本 昌宜; 密山 幸男; 尾上 孝雄
        研究報告システムLSI設計技術(SLDM), 2010年11月22日
      • AS-1-4 製造ばらつきや環境変動を許容するサブスレッショルド回路設計(AS-1.サブスレッショルドCMOS回路技術,シンポジウムセッション)
        橋本 昌宜; 更田 裕司; 尾上 孝雄
        電子情報通信学会総合大会講演論文集, 2010年03月02日
      • 低エネルギー動作に適した超低電圧プロセッサのアーキテクチャ評価
        黒田 弾; 更田 裕司; 橋本 昌宜; 尾上 孝雄
        研究報告システムLSI設計技術(SLDM), 2009年10月09日
      • レイアウトを考慮した基板バイアスクラスタリング手法
        濱本 浩一; 橋本 昌宜; 密山 幸男; 尾上 孝雄
        電子情報通信学会技術研究報告. VLD, VLSI設計技術, 2009年03月04日
      • サブスレッショルド回路における基板バイアスを考慮したトランジスタのばらつきモデリングとリングオシレータを用いた検証
        更田 裕司; 橋本 昌宜; 密山 幸男; 尾上 孝雄
        電子情報通信学会技術研究報告. VLD, VLSI設計技術, 2009年03月04日
      • Impact of Intrinsic Parasitic Extraction Errors on Timing and Noise Estimation
        KANAMOTO Toshiki; AKUTSU Shigekiyo; NAKABAYASHI Tamiyo; ICHINOMIYA Takahiro; HACHIYA Koutaro; KUROKAWA Atsushi; ISHIKAWA Hiroshi; MUROMOTO Sakae; KOBAYASHI Hiroyuki; HASHIMOTO Masanori
        IEICE transactions on fundamentals of electronics, communications and computer sciences, 2006年12月01日
      • 時間的三重化によるソフトエラー耐性向上の解析的評価
        土井 龍太郎; 橋本 昌宜; 尾上 孝雄
        研究報告システムとLSIの設計技術(SLDM), 2014年11月19日
      • 動的部分再構成による故障回避に適した配置配線手法の検討
        郡浦 宏明; 密山 幸男; 橋本 昌宜; 尾上 孝雄
        研究報告システムLSI設計技術(SLDM), 2014年03月08日
      • [招待講演]VLSIの信頼性を向上させる再構成可能アーキテクチャ
        尾上 孝雄; 橋本 昌宜; 密山 幸男; Dawood Alnajjar; 郡浦 宏明
        研究報告システムLSI設計技術(SLDM), 2013年11月20日
      • 柔軟な信頼性を実現する粗粒度再構成可能アーキテクチャの検討
        高永勲; Dawood Alnajjar; 密山 幸男; 橋本 昌宜; 尾上 孝雄
        情報処理学会研究報告システムLSI設計技術(SLDM), 2008年11月10日
      • 液晶ディスプレイ用サンプリング回路におけるサンプリングパルスとトランジスタサイズの最適設計手法
        高橋 真吾; 築山 修治; 橋本 昌宜; 白川 功
        電子情報通信学会論文誌. A, 基礎・境界 = The transactions of the Institute of Electronics, Information and Communication Engineers. A, 2008年03月01日
      • 柔軟な信頼性を実現する粗粒度再構成可能アーキテクチャの検討
        高 永勲; Alnajjar Dawood; 密山 幸男; 橋本 昌宜; 尾上 孝雄
        電子情報通信学会技術研究報告. VLD, VLSI設計技術, 2008年11月10日
      • 性能ばらつきを克服する適応的電圧制御の設計と製造後テスト手法
        増田豊; 橋本昌宜
        電子情報通信学 会LSI とシステムのワークショップ, 2018年05月
      • Design and test of adaptively voltage scaled circuits
        Y. Masuda; M. Hashimoto
        SIGDA Student Research Forum at 23rd Asia and South Pacic Design Automation Conference, 2018年01月, 査読有り
      • マージンの最小化に向けた適応的速度制御の設計と性能評価
        増田豊; 橋本昌宜; 尾上孝雄
        STARC フォーラム2015, 2015年11月
      • A-3-5 NBTIによる閾値電圧変化の確率的モデル化に関する一考察(A-3.VLSI設計技術,一般セッション)
        佐藤 雅紘; 飯塚 翔一; 粟野 皓光; 橋本 昌宜; 尾上 孝雄
        電子情報通信学会総合大会講演論文集, 2015年02月24日
      • B-18-48 サーモパイル型赤外線センサを用いた人感センサの性能評価(B-18.知的環境とセンサネットワーク,一般セッション)
        益田 涼平; 橋本 昌宣; 尾上 孝雄
        電子情報通信学会総合大会講演論文集, 2015年02月24日
      • クロスエントロピー法を用いたノード間距離情報に基づく3次元ノード位置推定
        鵜川 翔平; 信田 龍哉; 橋本 昌宜; 伊藤 雄一; 尾上 孝雄
        研究報告ヒューマンコンピュータインタラクション(HCI), 2015年01月07日
      • 時間的三重化によるソフトエラー耐性向上の解析的評価 (VLSI設計技術) -- (デザインガイア2014 : VLSI設計の新しい大地)
        土井 龍太郎; 橋本 昌宜; 尾上 孝雄
        電子情報通信学会技術研究報告 = IEICE technical report : 信学技報, 2014年11月26日
      • リアルタイム3次元モデリングシステムiClayの実現に向けた1mm3級センサノードの要素技術開発
        河野 仁; 鵜川 翔平; 信田 龍哉; 塚元 瑞穂; 田中 勇気; 中島 康祐; 伊藤 雄一; 廣瀬 哲也; 橋本 昌宜
        電子情報通信学会 集積回路研究専門委員会 LSIとシステムのワークショップ2014, 2014年05月
      • 動的部分再構成による故障回避に適した配置配線手法の検討 (ディペンダブルコンピューティング 組込み技術とネットワークに関するワークショップETNET2014)
        郡浦 宏明; 密山 幸男; 橋本 昌宜; 尾上 孝雄
        電子情報通信学会技術研究報告 = IEICE technical report : 信学技報, 2014年03月15日
      • ノード間距離情報に基づいた逐次的3次元ノード位置推定手法の検討 (回路とシステム)
        鵜川 翔平; 信田 龍哉; 伊藤 雄一; 橋本 昌宜; 尾上 孝雄
        電子情報通信学会技術研究報告 = IEICE technical report : 信学技報, 2014年03月06日
      • オンチップばらつきモニタリングによる適応的性能補償 (集積回路)
        橋本 昌宜
        電子情報通信学会技術研究報告 = IEICE technical report : 信学技報, 2014年01月28日
      • 5.1 経時劣化概説(第5章:素子特性経時劣化,<特集>ディペンダブルVLSIシステム)
        佐藤 高史; 橋本 昌宜
        日本信頼性学会誌 : 信頼性, 2013年12月01日
      • Time Dependent Degradation (Invited)
        T. Sato; M. Hashimoto
        The Journal of Reliability Engineering Association of Japan, 2013年12月, 査読有り, 招待有り
      • VLSIの信頼性を向上させる再構成可能アーキテクチャ(デザインガイア2013-VLSI設計の新しい大地-)
        尾上 孝雄; 橋本 昌宜; 密山 幸男; ALNAJJAR Dawood; 郡浦 宏明
        電子情報通信学会技術研究報告. DC, ディペンダブルコンピューティング, 2013年11月20日
      • センサノード間静電容量結合に基づく距離推定に向けた電極形状の検討 (通信方式)
        信田 龍哉; 橋本 昌宜; 尾上 孝雄
        電子情報通信学会技術研究報告 : 信学技報, 2013年03月14日
      • オンチップセンサを用いたばらつき自己補償手法の検討 (VLSI設計技術)
        樋口 裕磨; 橋本 昌宜; 尾上 孝雄
        電子情報通信学会技術研究報告 : 信学技報, 2013年03月04日
      • 確率的動作モデルを用いたオシレータベース真性乱数生成回路のワーストケース設計手法 (VLSI設計技術)
        天木 健彦; 橋本 昌宜; 密山 幸男; 尾上 孝雄
        電子情報通信学会技術研究報告 : 信学技報, 2013年03月04日
      • 動的部分再構成による故障回避に関する一考察
        郡浦 宏明; 今川 隆司; 密山 幸男; 橋本 昌宜; 尾上 孝雄
        電子情報通信学会技術研究報告. RECONF, リコンフィギャラブルシステム : IEICE technical report, 2012年11月20日
      • 中性子起因SEMTの電源電圧及び基板バイアス依存性測定
        原田 諒; 密山 幸男; 橋本 昌宜; 尾上 孝雄
        電子情報通信学会技術研究報告. DC, ディペンダブルコンピューティング : IEICE technical report, 2012年11月19日
      • A-3-6 電気的タイミング故障のデバッグ向けオンチップリアルタイム電源電圧センサ(A-3.VLSI設計技術,一般セッション)
        上野 美保; 橋本 昌宜; 尾上 孝雄
        電子情報通信学会ソサイエティ大会講演論文集, 2012年08月28日
      • 微細CMOSタイミング設計の新しいコーナー削減手法
        小谷 憲; 増田 弘生; 成木 保文; 奥村 隆昌; 城間 誠; 金本 俊幾; 古川 且洋; 山中 俊輝; 小笠原 泰弘; 佐藤 高史; 橋本 昌宜; 黒川 敦; 田中 正和
        DA シンポジウム, 2012年08月
      • 微細プロセス(22nm世代)における配線コーナー削減手法の検討
        城間 誠; 山中 俊輝; 小笠原 泰弘; 金本 俊幾; 成木 保文; 奥村 隆昌; 増田 弘生; 古川 且洋; 佐藤 高史; 橋本 昌宜; 黒川 敦; 田中 正和
        DA シンポジウム, 2012年08月
      • A-1-20 逆流電流削減による差動型整流回路の変換効率改善(A-1.回路とシステム,一般セッション)
        塚元 瑞穂; 廣瀬 哲也; 大崎 勇士; 黒木 修隆; 沼 昌宏; 橋本 昌宜
        電子情報通信学会総合大会講演論文集, 2012年03月06日
      • Signal-Dependent Analog-to-Digital Conversion based on MINIMAX Sampling (集積回路)
        HOMJAKOVS Igors; HASHIMOTO Masanori; HIROSE Tetsuya; ONOYE Takao
        電子情報通信学会技術研究報告 : 信学技報, 2011年12月15日
      • ゆらぎ増幅回路を用いたオシレータベース物理乱数生成器 (集積回路)
        天木 健彦; 橋本 昌宜; 尾上 孝雄
        電子情報通信学会技術研究報告 : 信学技報, 2011年12月15日
      • 超低電圧サブスレショルド回路設計 (ディペンダブルコンピューティング)
        橋本 昌宜
        電子情報通信学会技術研究報告 : 信学技報, 2011年11月28日
      • CMOSドライバ回路遅延のNBTI劣化ばらつき特性解析
        佐方 剛; 成木 保文; 奥村 隆昌; 金本 俊幾; 増田 弘生; 佐藤 高史; 橋本 昌宜; 古川 且洋; 田中 正和; 山中俊輝
        DA シンポジウム, 2011年08月
      • RTNを考慮した回路特性ばらつき解析方法の検討
        増田 弘生; 佐方 剛; 佐藤 高史; 橋本 昌宜; 古川 且洋; 田中 正和; 山中 俊輝; 金本俊幾
        DA シンポジウム, 2009年08月
      • 電源ノイズ考慮統計的タイミング解析を用いたデカップリング容量割当手法
        榎並 孝司; 橋本 昌宜; 佐藤 高史
        信学技報 VLSI設計技術研究会, 2009年03月
      • Decoupling Capacitance Allocation for Timing With Statistical Noise Model and Timing Analysis
        T. Enami; M. Hashimoto; T. Sato
        IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 2008年11月, 査読有り
      • チップ内システマティックばらつきと回路スキュー特性相関
        増田 弘生; 大川 眞一; 黄田 剛; 奥村 隆昌; 黒川 敦; 増田 弘生; 金本 俊幾; 佐藤 高史; 橋本 昌宜; 高藤 浩資; 中島 英斉; 小野 信任
        第21回 回路とシステム軽井沢ワークショップ, 2008年04月, 査読有り
      • 統計的 STA でのスルー依存性を考慮した遅延ばらつき計算手法の提案
        奥村 隆昌; 黒川 敦; 増田 弘生; 金本 俊幾; 佐藤 高史; 橋本 昌宜; 高藤 浩資; 中島 英斉; 小野 信任
        第21回 回路とシステム軽井沢ワークショップ, 2008年04月, 査読有り
      • Dynamic Supply Noise Measurement Circuit Composed of Standard Cells Suitable for in-Site Soc Power Integrity Verification
        Proc. IEEE/ACM Asia and South Pacific Design Automation Conference, 2008年
      • Dynamic supply noise measurement circuit composed of standard cells suitable for in-site SoC power integrity verification
        Yasuhiro Ogasahara; Masanori Hashimoto; Takao Onoye
        2008 ASIA AND SOUTH PACIFIC DESIGN AUTOMATION CONFERENCE, VOLS 1 AND 2, 2008年
      • Validation of a full-chip simulation model for supply noise and delay dependence on average voltage drop with on-chip delay measurement
        Yasuhiro Ogasahara; Takashi Enami; Masanori Hashimoto; Takashi Sato; Takao Onoye
        IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II-EXPRESS BRIEFS, 2007年10月
      • 45-65nm ノードにおける遅延ばらつき特性の環境温度依存性
        中林 太美世; 黒川 敦; 佐藤 高史; 橋本 昌宜; 増田 弘生
        第20回 回路とシステム軽井沢ワークショップ, 2007年04月, 査読有り
      • 統計的 STA でのスルー依存性を考慮した遅延ばらつき計算手法の提案
        高藤 浩資; 小林 宏行; 小野 信任; 増田 弘生; 中島 英斉; 奥村 隆昌; 橋本 昌宜; 佐藤 高史
        第20回 回路とシステム軽井沢ワークショップ, 2007年04月, 査読有り
      • 電源ノイズによる遅延変動の測定とフルチップシミュレーションによる遅延変動の再現
        小笠原 泰弘; 榎並 孝司; 橋本 昌宜; 佐藤 高史; 尾上 孝雄
        信学技報, 2007年01月
      • Validation of a Full-Chip Simulation Model for Supply Noise and Delay Dependence on Average Voltage Drop with on-Chip Delay Measurement
        IEEE Trans. on Circuits and Systems—II: Express Briefs, 2007年
      • Measurement Results of Delay Degradation Due to Power Supply Noise Well Correlated With Full-chip Simulation
        Y. Ogasahara; T. Enami; M. Hashimoto; T. Sato; T. Onoye
        IEEE Custom Integrated Circuits Conference (CICC), 2006年09月, 査読有り
      • 統計的 STA の精度検証手法
        小林 宏行; 小野 信任; 佐藤 高史; 岩井 二郎; 橋本 昌宜
        DA シンポジウム, 2006年07月
      • Effective Si-substrate Modeling for Frequency-dependent Interconnect Resistance and Inductance Extraction
        International Workshop on Compact Modeling, 2006年
      • A Gate Delay Model Focusing on Current Fluctuation over Wide-Range of Process Variations
        ACM/IEEE International Workshop on Timing Issues in the Specification and Synthesis of Digital Systems, 2006年
      • 電源ノイズ解析のための回路動作部表現法の評価
        2006年電子情報通信学会総合大会講演論文集, 2006年
      • Effective Si-substrate Modeling for Frequency-dependent Interconnect Resistance and Inductance Extraction
        International Workshop on Compact Modeling, 2006年
      • A Gate Delay Model Focusing on Current Fluctuation over Wide-Range of Process Variations
        ACM/IEEE International Workshop on Timing Issues in the Specification and Synthesis of Digital Systems, 2006年
      • 画素充電率制約を満足する液晶ドライバ回路のトランジスタサイズ決定技術
        電子情報通信学会 VLSI設計技術研究会, 2006年
      • ロードマップに準拠したSPICEトランジスタモデルの構築
        2006年電子情報通信学会総合大会講演論文集, 2006年
      • 統計的STAの有効性の検証手法
        第19回 回路とシステム(軽井沢)ワークショップ, 2006年
      • 電流変動に着目した広範囲な製造・環境ばらつき対応ゲート遅延モデル
        第19回 回路とシステム(軽井沢)ワークショップ, 2006年
      • LSI 配線における容量性, 誘導性クロストークノイズの定量的将来予測
        第19回 回路とシステム(軽井沢)ワークショップ, 2006年
      • LC共振器におけるMOSFETの抵抗成分を考慮した等価並列抵抗の見積もり
        上村 晋一朗; 橋本昌宜; 小野寺秀俊
        電子情報通信学会ソサイエティ大会, 2005年09月21日
      • オンチップ伝送線路の基板損失に対する下層配線の影響
        土谷亮; 橋本昌宜; 小野寺秀俊
        2005年電子情報通信学会総合大会, 2005年03月24日
      • Successive Pad Assignment Algorithm to Optimize Number and Location of Power Supply Pad Using Incremental Matrix Inversion
        T. Sato; M. Hashimoto; H. Onodera
        ACM/IEEE Asia and South Pacific Design Automation Conference (ASPDAC), 2005年01月, 査読有り
      • On-chip Thermal Gradient Analysis and Temperature Flattening for SoC Design
        T. Sato; J. Ichimiya; N. Ono; K. Hachiya; M. Hashimoto
        ACM/IEEE Asia and South Pacific Design Automation Conference (ASPDAC), 2005年01月, 査読有り
      • Timing Analysis Considering Temporal Supply Voltage Fluctuation
        M. Hashimoto; J. Yamaguchi; T. Sato; H. Onodera
        ACM/IEEE Asia and South Pacific Design Automation Conference (ASPDAC), 2005年01月, 査読有り
      • Measurement and analysis of delay variation due to inductive coupling
        Proc. IEEE Custom Integrated Circuits Conference, 2005年
      • CMLを用いたオンチップ長距離高速信号伝送技術の開発
        第9回システムLSIワークショップ, 2005年
      • An IR-drop Minimization by Optimizing Number and Location of Power Supply Pads
        T. Sato; M. Hashimoto; H. Onodera
        The 12th workshop on synthesis and system integration of mixed information technologies (SASIMI), 2004年10月, 査読有り
      • 微細LSIにおけるタイミング解析 --電源ノイズ・信号線ノイズ・ばらつきへの対応--
        橋本昌宜; 小野寺秀俊
        2004年電子情報通信学会ソサイエティ大会講演論文集, 2004年09月23日
      • Equivalent Waveform Propagation for Static Timing Analysis
        IEEE Trans. on CAD, 2004年
      • Design and Optimization of CMOS Current Mode Logic Dividers
        Proc. IEEE Asia-Pacific Conference on Advanced System Integrated Circuits, 2004年
      • Performance Limitation of On-chip Global Interconnects for High-speed Signaling
        Proc. IEEE Custom Integrated Circuits Conference, 2004年
      • Equivalent Waveform Propagation for Static Timing Analysis
        Hashimoto M; Yamada Y; Onodera H
        IEEE Trans. on CAD, 2004年
      • Performance limitation of on-chip global interconnects for high-speed signaling
        A Tsuchiya; Y Gotoh; M Hashimoto; H Onodera
        PROCEEDINGS OF THE IEEE 2004 CUSTOM INTEGRATED CIRCUITS CONFERENCE, 2004年
      • オンチップ伝送線路のリターン電流分布が信号波形に与える影響 --- 平衡・不平衡伝送の比較 ---
        2004年
      • Equivalent Waveform Propagation for Static Timing Analysis
        IEEE Trans. on CAD, 2004年
      • 配線RL抽出におけるリターンパス選択手法
        2004年
      • 遅延計算およびシグナルインテグリティを考慮した配線寄生容量抽出精度評価
        2004年
      • フロアプランにおけるオンチップ熱ばらつきの解析と対策
        2004年
      • オンチップインダクタンスを考慮したLSI電源配線網解析
        2004年
      • Design and optimization of CMOS current mode logic dividers
        A Shinmyo; M Hashimoto; H Onodera
        PROCEEDINGS OF 2004 IEEE ASIA-PACIFIC CONFERENCE ON ADVANCED SYSTEM INTEGRATED CIRCUITS, 2004年
      • Performance Limitation of On-chip Global Interconnects for High-speed Signaling
        Proc. IEEE Custom Integrated Circuits Conference, 2004年
      • パスバランス回路における遅延不確かさの統計的解析
        橋本昌宜; 小野寺秀俊
        2000年電子情報通信学会基礎・境界ソサイエティ大会講演論文集, 2000年10月01日

      書籍等出版物

      • Book chapter, Time-Dependent Degradation in Device Characteristics and Countermeasures by Design, VLSI Design and Test for Systems Dependability
        T. Sato; M. Hashimoto; S. Tanakamaru; K. Takeuchi; Y. Sato; S. Kajihara; M. Yoshimoto; J. Jung; Y. Kimi; H. Kawaguchi; H. Shimada; J. Yao, 共著
        Springer, 2018年08月, 査読無し
      • Book chapter, Applications of Reconfigurable Processors as Embedded Automatons in the Iot Sensor Networks in Space, VLSI Design and Test for Systems Dependability
        H. Hihara; A. Iwasaki; M. Hashimoto; H. Ochi; Y. Mitsuyama; H. Onodera; H. Kanbara; K. Wakabayashi; T. Sugibayashi; T. Takenaka; H. Hada; M. Tada, 共著
        Springer, 2018年08月, 査読無し
      • Book chapter, Radiation-Induced Soft Errors, VLSI Design and Test for Systems Dependability
        E. Ibe; S. Yoshimoto; M. Yoshimoto; H. Kawaguchi; K. Kobayashi; J. Furuta; Y. Mitsuyama; M; Hashimoto,T. Onoye; H. Kanbara; H. Ochi; K. Wakabayashi; H. Onodera; M. Sugihara, 共著
        Springer, 2018年08月, 査読無し

      産業財産権

      • 特開7284465, 特願PCT/JP2019/032455, 信号線の接続方法、プログラム、及び、半導体集積回路
        橋本昌宜; 土井龍太郎; 劉 載勲

      Works(作品等)

      • ネット家電の実用化・普及のためのホームゲートウェイ集積化技術開発
        自 2004年

      メディア報道

      • 一般的な中性子源で、半導体ソフトエラー率を評価
        EE Times Japan, 2023年06月, インターネットメディア
      • 正/負ミュオンや中性子などのソフトエラーの違いをソシオネクストなどが確認
        正/負ミュオンや中性子などのソフトエラーの違いをソシオネクストなどが確認, 2021年07月, インターネットメディア
      • EE Times Japan
        EE Times Japan, 2021年07月, インターネットメディア
      • FPGAにブレークスルーか チップ面積が1/10未満 に
        日経エレクトロニクス, 2020年04月, 新聞・雑誌
      • A flexible brain for AI
        AlphaGalileo, 2020年03月, インターネットメディア
      • A flexible brain for AI
        EurekAlert!, 2020年03月, インターネットメディア
      • ビアスイッチでプログラムするFPGA チップを開発
        EE Times Japan, 2020年02月, インターネットメディア
      • FPGA にブレークスルーか、ビア・スイッチで論理回路面 積を1/10 未満に
        日経クロステック, 2020年02月, インターネットメディア
      • 阪大、FPGA の実装密度を12 倍向上させる「ビアスイッチ」を開発
        PC Watch, 2020年02月, インターネットメディア
      • チップのプログラム量12 倍に
        日経産業新聞, 2020年02月, 新聞・雑誌
      • 阪大、次世代のFPGA チップにトランジスタを用いず12 倍の高密度化実装に成功
        日経新聞, 2020年02月, インターネットメディア
      • 12 倍の実装密度書き換え可能集積回路
        日刊工業新聞, 2020年02月, 新聞・雑誌
      • 宇宙線ミュオンで電子機器に悪影響
        科学技術新聞, 2018年06月, 新聞・雑誌
      • 宇宙線「ミュオン」の影響確認
        日刊工業新聞, 2018年06月, 新聞・雑誌
      • 九大と阪大など、「宇宙線ミュオン」が電子機器の誤 作動を引き起こすことを解明
        日本経済新聞, 2018年05月, インターネットメディア
      • 損傷してもエラー修正
        日刊工業新聞, 2011年08月, 新聞・雑誌

      外部資金:科学研究費補助金

      • ミューオン起因ソフトエラー評価基盤技術: 実測とシミュレーションに基づく将来予測
        基盤研究(S)
        大区分J
        京都大学
        橋本 昌宜
        自 2019年06月26日, 至 2024年03月31日, 交付
        ソフトエラー;ミューオン;集積システム;VLSI;信頼性
      • 近似コンピューティングを活用した深層ニューラルネットワークアクセラレータの開発
        基盤研究(B)
        小区分60040:計算機システム関連
        東京工業大学
        劉 載勲
        自 2019年04月01日, 至 2022年03月31日, 完了
        深層ニューラルネットワーク;近似コンピューティング;深層学習;推論アクセラレータ;アクセラレータ;NPU;ニューラルネットワーク;ハードウェアアクセラレータ;近似計算;電力効率;Deep Neural Network;蒸留;訓練データ削減
      • 半導体デバイスのミューオン誘起ソフトエラー率評価のための技術基盤構築
        基盤研究(B)
        九州大学
        渡辺 幸信
        自 2016年04月01日, 至 2019年03月31日, 完了
        ミューオン;半導体デバイス;照射試験;シングルイベントアップセット;宇宙線ミューオン計測;粒子輸送シミュレーション;PHITS;加速試験;輸送シミュレーション
      • 1立方mm無線給電センサノードの開発と実世界ユーザインタフェースへの応用
        基盤研究(A)
        大阪大学
        橋本 昌宜
        自 2015年04月01日, 至 2018年03月31日, 完了
        センサノード;実世界ユーザインタフェース;無線給電;実世界ユーザーインタフェース
      • 極小センサノードを用いたリアルタイム3次元モデリングインタフェースiClay
        基盤研究(A)
        大阪大学
        橋本 昌宜
        自 2011年04月01日, 至 2014年03月31日, 完了
        3次元モデリング;センサネットワーク;リアルタイムモデリング;極小センサノード
      • 四桁の消費電力可変範囲をもつセンサノード向けプロセッサの開発
        若手研究(A)
        大阪大学
        橋本 昌宜
        自 2007年04月01日, 至 2010年03月31日, 完了
        ハードウェア設計;センサネットワーク;サブスレッショルド回路;超低消費電力;製造ばらつき;性能補償;基板バイアス;動的タイミング変動;プロセッサ;レイアウト方式
      • 柔軟なディペンダビリティを実現する再構成可能集積回路の開発
        基盤研究(B)
        大阪大学
        尾上 孝雄
        自 2007年04月01日, 至 2009年03月31日, 完了
        計算機アーキテクチャ;ディペンダビリティ;再構成可能アーキテクチャ;再構成可能集積回路;ソフトエラー;製造ばらつき;冗長化;暗号化;自己診断;動作隠蔽;ディベンダビリティ
      • 超微細LSIにおける遅延変動要因を考慮した静的遅延解析手法の開発
        若手研究(B)
        京都大学
        橋本 昌宜
        自 2002年04月01日, 至 2004年03月31日, 完了
        遅延解析;電源線ノイズ;配線特性;RLC抽出;ゲート遅延モデル;等価入力波形;波形伝播;静的遅延解析;遅延不確かさ;遅延変動;製造ばらつき;クロストークノイズ;インダクタンス;抵抗性容量遮蔽効果;LSI配線
      • 集積回路における高速信号伝送技術の研究
        基盤研究(B)
        京都大学
        小野寺 秀俊
        自 2002年04月01日, 至 2005年03月31日, 完了
        高速信号伝送;LSI;SerDes;配線特性;高速信号伝達;オンチップ伝送線路;PLL;LC型PLL;リング型PLL;性能予測;RLC抽出;LSI配線;伝送線路;配線構造;ドライバ駆動力;スパイラルインダクタ;応答局面法, Signal Transmission;LSI;SerDes
      • 動き補償を利用した動画像の実時間背景・対象物分離アルゴリズムとハードウエアの開発
        特定領域研究
        理工系
        京都大学
        小野寺 秀俊
        自 2001年04月01日, 至 2003年03月31日, 完了
        動き補償;ジャイロセンサ;動きベクトル;動画像
      • 大規模集積回路の統計的特性解析・最適化手法の開発
        基盤研究(B)
        京都大学
        小野寺 秀俊
        自 1999年04月01日, 至 2002年03月31日, 完了
        製造ばらつき;統計解析;統計的遅延解析;チップ内ばらつき;チップ間ばらつき;VLSI;階層設計;アナログ回路;統計的解析;ばらつき;集積回路;歩留り最大化;ロバスト設計;設計容易化技術;統計モデリング;モンテカルロ解析, Manufacturing Variability;Statistical Analysis;Statistical Timing Analysis;Intra-Chip Variability;Inter-Chip Variability;VLSI;Hierarchical Design;Analog Circuit
      • 宇宙線による誤動作の克服に向けた次世代集積システムの信頼性評価基盤技術の開発
        基盤研究(S)
        大区分J
        京都大学
        橋本 昌宜
        自 2024年04月01日, 至 2029年03月31日, 交付
        ソフトエラー;宇宙線;超伝導回路;集積回路;信頼性

      外部資金:その他

      • 計算機システム関連分野に関する学術研究動向 (2022年度分)
        独立行政法人 日本学術振興会, 学術研究動向等に関する調査研究
        自 2022年04月01日, 至 2023年03月31日
        代表者
      • 「計算機システム関連分野に関する学術研究動向」 (2021年度分)
        独立行政法人 日本学術振興会, 学術動向研究等調査研究
        自 2021年04月01日, 至 2022年03月31日
        代表者
      • 耐量子計算機性秘匿計算に基づくセキュア情報処理基盤
        CREST
        自 2019年10月01日, 至 2025年03月31日
        本間尚文
      • 光ニューラルネットワークの時空間ダイナミクスに基づく計算基盤技術
        CREST
        自 2019年04月01日, 至 2024年03月31日
        鈴木秀幸
      • 安全・安心・スマートな長寿社会実現のための高度な量子アプリケーション技術の創出
        OPERA
        自 2017年10月01日, 至 2022年03月31日
        中野貴志
      list
        Last Updated :2025/04/23

        教育

        担当科目

        • 自 2024年04月01日, 至 2025年03月31日
          論理回路
          6012, 前期, 工学部, 2
        • 自 2024年04月01日, 至 2025年03月31日
          集積回路工学
          6113, 前期, 工学部, 2
        • 自 2024年04月01日, 至 2025年03月31日
          ディジタル回路
          6060, 前期, 工学部, 2
        • 自 2024年04月01日, 至 2025年03月31日
          集積回路工学特論
          3631, 前期, 情報学研究科, 2
        • 自 2023年04月01日, 至 2024年03月31日
          集積回路工学
          6113, 前期, 工学部, 2
        • 自 2023年04月01日, 至 2024年03月31日
          ディジタル回路
          6060, 前期, 工学部, 2
        • 自 2023年04月01日, 至 2024年03月31日
          論理回路
          6012, 前期, 工学部, 2
        • 自 2023年04月01日, 至 2024年03月31日
          集積回路工学特論
          3631, 前期, 情報学研究科, 2
        • 自 2022年04月01日, 至 2023年03月31日
          集積回路工学特論
          3631, 前期, 情報学研究科, 2
        • 自 2022年04月01日, 至 2023年03月31日
          エレクトロニクス入門
          5300, 前期, 工学部, 2
        • 自 2022年04月01日, 至 2023年03月31日
          集積回路工学
          6113, 前期, 工学部, 2
        • 自 2022年04月01日, 至 2023年03月31日
          ディジタル回路
          6060, 前期, 工学部, 2
        • 自 2022年04月01日, 至 2023年03月31日
          論理回路
          6012, 前期, 工学部, 2
        • 自 2021年04月, 至 2022年03月
          エレクトロニクス入門(機宇)〈情報〉
          前期, 工学部
        • 自 2021年04月, 至 2022年03月
          ディジタル回路
          前期, 工学部
        • 自 2021年04月, 至 2022年03月
          論理回路
          前期, 工学部
        • 自 2021年04月, 至 2022年03月
          集積回路工学
          前期, 工学部
        • 自 2021年04月, 至 2022年03月
          集積回路工学特論
          前期, 情報学研究科

        博士学位審査

        • Leakage-Current-Aware Layout Design of DNTT-Based OTFTs and Its Applications to Digital Circuits(DNTTを用いる有機薄膜トランジスタのリーク電流考慮レイアウト設計とそのデジタル回路への応用)
          大島 國弘, 情報学研究科, 副査
          2024年03月25日
        • Studies on Synthesis Methods for Efficient Optical Logic Circuits(高性能な光論理回路の合成手法に関する研究)
          松尾 亮祐, 情報学研究科, 副査
          2023年03月23日
        • Robust Design of Low-voltage OTFT Circuits for Flexible Electronic Systems(フレキシブル電子システムに向けた低電圧有機薄膜トランジスタ回路のロバスト設計)
          Qin Zhaoxing, 情報学研究科, 副査
          2023年03月23日
        • 電力変換回路におけるパワーモジュールの熱設計に向けた特性測定とモデリング
          中村 洋平, 情報学研究科, 副査
          2023年03月23日
        • Design and Verification of Single-Flux-Quantum Digital Circuits Using Clocked and Clockless Gates(クロックトゲートとクロックレスゲートを用いた単一磁束量子回路の設計と検証)
          川口 隆広, 情報学研究科, 副査
          2023年01月23日

        学外学位論文審査

        • Study on CMOS LSI Systems for Solar-Cell-Powered Continuous Glucose Monitoring Contact Lenses
          陳国威, 名古屋大学, 日本, 副査
          2023年01月01日
        list
          Last Updated :2025/04/23

          大学運営

          部局運営(役職等)

          • 自 2023年04月01日, 至 2025年03月31日
            工学部教育制度委員会 委員
          • 自 2023年04月01日, 至 2025年03月31日
            企画委員会委員
          • 自 2021年04月01日, 至 2023年03月31日
            教務委員会委員

          ページ上部へ戻る